FPPU: Design and Implementation of a Pipelined Full Posit Processing Unit

08/07/2023
by   Federico Rossi, et al.
0

By exploiting the modular RISC-V ISA this paper presents the customization of instruction set with posit™ arithmetic instructions to provide improved numerical accuracy, well-defined behavior and increased range of representable numbers while keeping the flexibility and benefits of open-source ISA, like no licensing and royalty fee and community development. In this work we present the design, implementation and integration into the low-power Ibex RISC-V core of a full posit processing unit capable to directly implement in hardware the four arithmetic operations (add, sub, mul, div and fma), the inversion, the float-to-posit and posit-to-float conversions. We evaluate speed, power and area of this unit (that we have called Full Posit Processing Unit). The FPPU has been prototyped on Alveo and Kintex FPGAs, and its impact on the metrics of the full-RISC-V core have been evaluated, showing that we can provide real number processing capabilities to the mentioned core with an increase in area limited to 7% for 8-bit posits and to 15% for 16-bit posits. Finally we present tests one the use of posits for deep neural networks with different network models and datasets, showing minimal drop in accuracy when using 16-bit posits instead of 32-bit IEEE floats.

READ FULL TEXT

page 1

page 7

page 9

page 10

research
09/16/2021

The Accuracy and Efficiency of Posit Arithmetic

Motivated by the increasing interest in the posit numeric format, in thi...
research
03/30/2023

RPU: The Ring Processing Unit

Ring-Learning-with-Errors (RLWE) has emerged as the foundation of many i...
research
12/12/2016

Understanding the Impact of Precision Quantization on the Accuracy and Energy of Neural Networks

Deep neural networks are gaining in popularity as they are used to gener...
research
09/01/2023

Yet another Improvement of Plantard Arithmetic for Faster Kyber on Low-end 32-bit IoT Devices

This paper presents another improved version of Plantard arithmetic that...
research
08/05/2019

PERI: A Posit Enabled RISC-V Core

Owing to the failure of Dennard's scaling the last decade has seen a ste...
research
02/03/2023

PDPU: An Open-Source Posit Dot-Product Unit for Deep Learning Applications

Posit has been a promising alternative to the IEEE-754 floating point fo...
research
11/08/2022

A study and comparison of COordinate Rotation DIgital Computer (CORDIC) architectures

Most of the digital signal processing applications performs operations l...

Please sign up or login with your details

Forgot password? Click here to reset