Towards a RISC-V Open Platform for Next-generation Automotive ECUs

07/09/2023
by   Luca Cuomo, et al.
0

The complexity of automotive systems is increasing quickly due to the integration of novel functionalities such as assisted or autonomous driving. However, increasing complexity poses considerable challenges to the automotive supply chain since the continuous addition of new hardware and network cabling is not considered tenable. The availability of modern heterogeneous multi-processor chips represents a unique opportunity to reduce vehicle costs by integrating multiple functionalities into fewer Electronic Control Units (ECUs). In addition, the recent improvements in open-hardware technology allow to further reduce costs by avoiding lock-in solutions. This paper presents a mixed-criticality multi-OS architecture for automotive ECUs based on open hardware and open-source technologies. Safety-critical functionalities are executed by an AUTOSAR OS running on a RISC-V processor, while the Linux OS executes more advanced functionalities on a multi-core ARM CPU. Besides presenting the implemented stack and the communication infrastructure, this paper provides a quantitative gap analysis between an HW/SW optimized version of the RISC-V processor and a COTS Arm Cortex-R in terms of real-time features, confirming that RISC-V is a valuable candidate for running AUTOSAR Classic stacks of next-generation automotive MCUs.

READ FULL TEXT

page 1

page 2

page 3

page 4

research
05/08/2019

Bridging the Gap between Open Source Software and Vehicle Hardware for Autonomous Driving

Although many research vehicle platforms for autonomous driving have bee...
research
10/23/2013

Quest-V: A Virtualized Multikernel for Safety-Critical Real-Time Systems

Modern processors are increasingly featuring multiple cores, as well as ...
research
12/13/2017

The microarchitecture of a multi-threaded RISC-V compliant processing core family for IoT end-nodes

Internet-of-Things end-nodes demand low power processing platforms chara...
research
06/04/2022

Enabling Heterogeneous, Multicore SoC Research with RISC-V and ESP

Heterogeneous, multicore SoC architectures are a critical component of t...
research
08/30/2019

Porting of eChronos RTOS on RISC-V Architecture

eChronos is a formally verified Real Time Operating System(RTOS) designe...
research
02/06/2023

PAseos Simulates the Environment for Operating multiple Spacecraft

The next generation of spacecraft is anticipated to enable various new a...
research
06/28/2023

Joint Time-and Event-Triggered Scheduling in the Linux Kernel

There is increasing interest in using Linux in the real-time domain due ...

Please sign up or login with your details

Forgot password? Click here to reset