Threshold Logic in a Flash

10/10/2019
by   Ankit Wagle, et al.
0

This paper describes a novel design of a threshold logic gate (a binary perceptron) and its implementation as a standard cell. This new cell structure, referred to as flash threshold logic (FTL), uses floating gate (flash) transistors to realize the weights associated with a threshold function. The threshold voltages of the flash transistors serve as a proxy for the weights. An FTL cell can be equivalently viewed as a multi-input, edge-triggered flipflop which computes a threshold function on a clock edge. Consequently, it can be used in the automatic synthesis of ASICs. The use of flash transistors in the FTL cell allows programming of the weights after fabrication, thereby preventing discovery of its function by a foundry or by reverse engineering. This paper focuses on the design and characteristics of the FTL cell. We present a novel method for programming the weights of an FTL cell for a specified threshold function using a modified perceptron learning algorithm. The algorithm is further extended to select weights to maximize the robustness of the design in the presence of process variations. The FTL circuit was designed in 40nm technology and simulations with layout-extracted parasitics included, demonstrate significant improvements in the area (79.7 (61.1 implementations of the same function in conventional static CMOS design. Weight selection targeting robustness is demonstrated using Monte Carlo simulations. The paper also shows how FTL cells can be used for fixing timing errors after fabrication.

READ FULL TEXT

page 2

page 4

research
04/17/2022

A Novel ASIC Design Flow using Weight-Tunable Binary Neurons as Standard Cells

In this paper, we describe a design of a mixed signal circuit for a bina...
research
10/06/2014

Memristive Threshold Logic Circuit Design of Fast Moving Object Detection

Real-time detection of moving objects involves memorisation of features ...
research
11/30/2021

CIDAN: Computing in DRAM with Artificial Neurons

Numerous applications such as graph processing, cryptography, databases,...
research
10/08/2021

Hardware Functional Obfuscation With Ferroelectric Active Interconnects

Camouflaging gate techniques are typically used in hardware security to ...
research
04/12/2023

Gate Camouflaging Using Reconfigurable ISFET-Based Threshold Voltage Defined Logic

Most chip designers outsource the manufacturing of their integrated circ...
research
07/25/2022

AutoCellLibX: Automated Standard Cell Library Extension Based on Pattern Mining

Custom standard cell libraries can improve the final quality of the corr...
research
08/02/2018

Approximate Probabilistic Neural Networks with Gated Threshold Logic

Probabilistic Neural Network (PNN) is a feed-forward artificial neural n...

Please sign up or login with your details

Forgot password? Click here to reset