Systematic Prevention of On-Core Timing Channels by Full Temporal Partitioning

02/24/2022
by   Nils Wistoff, et al.
0

Microarchitectural timing channels enable unwanted information flow across security boundaries, violating fundamental security assumptions. They leverage timing variations of several state-holding microarchitectural components and have been demonstrated across instruction set architectures and hardware implementations. Analogously to memory protection, Ge et al. have proposed time protection for preventing information leakage via timing channels. They also showed that time protection calls for hardware support. This work leverages the open and extensible RISC-V instruction set architecture (ISA) to introduce the temporal fence instruction fence.t, which provides the required mechanisms by clearing vulnerable microarchitectural state and guaranteeing a history-independent context-switch latency. We propose and discuss three different implementations of fence.t and implement them on an experimental version of the seL4 microkernel and CVA6, an open-source, in-order, application class, 64-bit RISC-V core. We find that a complete, systematic, ISA-supported erasure of all non-architectural core components is the most effective implementation while featuring a low implementation effort, a minimal performance overhead of approximately 2

READ FULL TEXT

page 1

page 2

page 3

page 4

page 5

page 6

page 7

page 10

research
05/01/2020

Prevention of Microarchitectural Covert Channels on an Open-Source 64-bit RISC-V Core

Covert channels enable information leakage across security boundaries of...
research
01/24/2019

Can We Prove Time Protection?

Timing channels are a significant and growing security threat in compute...
research
10/12/2018

Time Protection: the Missing OS Abstraction

Timing channels enable data leakage that threatens the security of compu...
research
11/20/2020

SIMF: Single-Instruction Multiple-Flush Mechanism for Processor Temporal Isolation

Microarchitectural timing attacks are a type of information leakage atta...
research
08/15/2023

A Scalable Formal Verification Methodology for Data-Oblivious Hardware

The importance of preventing microarchitectural timing side channels in ...
research
02/17/2020

A Lightweight ISA Extension for AES and SM4

We describe a lightweight RISC-V ISA extension for AES and SM4 block cip...
research
09/09/2021

An Effective Parallel Program Debugging Approach Based on Timing Annotation

We propose an effective parallel program debugging approach based on the...

Please sign up or login with your details

Forgot password? Click here to reset