RVCoreP : An optimized RISC-V soft processor of five-stage pipelining

02/10/2020
by   Hiromu Miyazaki, et al.
0

RISC-V is a RISC based open and loyalty free instruction set architecture which has been developed since 2010, and can be used for cost-effective soft processors on FPGAs. The basic 32-bit integer instruction set in RISC-V is defined as RV32I, which is sufficient to support the operating system environment and suits for embedded systems. In this paper, we propose an optimized RV32I soft processor named RVCoreP adopting five-stage pipelining. The processor applies three effective optimization methods to improve the operating frequency. These methods are instruction fetch unit optimization including pipelined branch prediction mechanism, ALU optimization, and data alignment and sign-extension optimization for data memory output. We implement RVCoreP in Verilog HDL and verify the behavior using Verilog simulation and an actual Xilinx Atrix-7 FPGA board. We evaluate IPC (instructions per cycle), operating frequency, hardware resource utilization, and processor performance. From the evaluation results, we show that RVCoreP achieves 30.0 improvement compared with VexRiscv, which is a high-performance and open source RV32I processor selected from some related works.

READ FULL TEXT

page 7

page 8

page 9

research
11/23/2020

RVCoreP-32IC: A high-performance RISC-V soft processor with an efficient fetch unit supporting the compressed instructions

In this paper, we propose a high-performance RISC-V soft processor with ...
research
10/30/2020

RVCoreP-32IM: An effective architecture to implement mul/div instructions for five stage RISC-V soft processors

RISC-V, an open instruction set architecture, is getting the attention o...
research
02/10/2020

A portable and Linux capable RISC-V computer system in Verilog HDL

RISC-V is an open and royalty free instruction set architecture which ha...
research
09/01/2020

RISC micrprocessor verification

Today's microprocessors have grown significantly in complexity and funct...
research
09/16/2020

Enabling Virtual Memory Research on RISC-V with a Configurable TLB Hierarchy for the Rocket Chip Generator

The Rocket Chip Generator uses a collection of parameterized processor c...
research
05/08/2017

Out-of-Order Dataflow Scheduling for FPGA Overlays

We exploit floating-point DSPs in the Arria10 FPGA and multi-pumping fea...
research
11/04/2018

Measuring Software Performance on Linux

Measuring and analyzing the performance of software has reached a high c...

Please sign up or login with your details

Forgot password? Click here to reset