Pyxis: An Open-Source Performance Dataset of Sparse Accelerators

10/08/2021
by   Linghao Song, et al.
0

Specialized accelerators provide gains of performance and efficiency in specific domains of applications. Sparse data structures or/and representations exist in a wide range of applications. However, it is challenging to design accelerators for sparse applications because no architecture or performance-level analytic models are able to fully capture the spectrum of the sparse data. Accelerator researchers rely on real execution to get precise feedback for their designs. In this work, we present PYXIS, a performance dataset for specialized accelerators on sparse data. PYXIS collects accelerator designs and real execution performance statistics. Currently, there are 73.8 K instances in PYXIS. PYXIS is open-source, and we are constantly growing PYXIS with new accelerator designs and performance statistics. PYXIS can benefit researchers in the fields of accelerator, architecture, performance, algorithm, and many related topics.

READ FULL TEXT
research
01/21/2022

Enabling Flexibility for Sparse Tensor Acceleration via Heterogeneity

Recently, numerous sparse hardware accelerators for Deep Neural Networks...
research
11/11/2021

G-GPU: A Fully-Automated Generator of GPU-like ASIC Accelerators

Modern Systems on Chip (SoC), almost as a rule, require accelerators for...
research
11/10/2016

In-Storage Embedded Accelerator for Sparse Pattern Processing

We present a novel architecture for sparse pattern processing, using fla...
research
05/13/2021

Combining Emulation and Simulation to Evaluate a Near Memory Key/Value Lookup Accelerator

Processing large numbers of key/value lookups is an integral part of mod...
research
10/01/2019

UltraShare: FPGA-based Dynamic Accelerator Sharing and Allocation

Despite all the available commercial and open-source frameworks to ease ...
research
03/22/2023

From Compact Plasma Particle Sources to Advanced Accelerators with Modeling at Exascale

Developing complex, reliable advanced accelerators requires a coordinate...
research
04/17/2023

TeAAL: A Declarative Framework for Modeling Sparse Tensor Accelerators

Over the past few years, the explosion in sparse tensor algebra workload...

Please sign up or login with your details

Forgot password? Click here to reset