Obfuscating the Interconnects: Low-Cost and Resilient Full-Chip Layout Camouflaging

11/14/2017
by   Satwik Patnaik, et al.
0

Layout camouflaging (LC) is a promising technique to protect chip design intellectual property (IP) from reverse engineers. Most prior art, however, cannot leverage the full potential of LC due to excessive overheads and/or their limited scope on an FEOL-centric and accordingly customized manufacturing process. If at all, most existing techniques can be reasonably applied only to selected parts of a chip---we argue that such "small-scale or custom camouflaging" will eventually be circumvented, irrespective of the underlying technique. In this work, we propose a novel LC scheme which is low-cost and generic---full-chip LC can finally be realized without any reservation. Our scheme is based on obfuscating the interconnects (BEOL); it can be readily applied to any design without modifications in the device layer (FEOL). Applied with split manufacturing in conjunction, our approach is the first in the literature to cope with both the FEOL fab and the end-user being untrustworthy. We implement and evaluate our primitives at the (DRC-clean) layout level; our scheme incurs significantly lower cost than most of the previous works. When comparing fully camouflaged to original layouts (i.e., for 100 on average power, performance, and area overheads of 12 respectively. Here we also show empirically that most existing LC techniques (as well as ours) can only provide proper resilience against powerful SAT attacks once at least 50 practically secure. As indicated, our approach can deliver even 100 acceptable cost. Finally, we also make our flow publicly available, enabling the community to protect their sensitive designs.

READ FULL TEXT
research
06/24/2018

Raise Your Game for Split Manufacturing: Restoring the True Functionality Through BEOL

Split manufacturing (SM) seeks to protect against piracy of intellectual...
research
03/07/2019

A New Paradigm in Split Manufacturing: Lock the FEOL, Unlock at the BEOL

Split manufacturing was introduced as an effective countermeasure agains...
research
02/14/2019

Protect Your Chip Design Intellectual Property: An Overview

The increasing cost of integrated circuit (IC) fabrication has driven mo...
research
11/16/2018

Best of Both Worlds: Integration of Split Manufacturing and Camouflaging into a Security-Driven CAD Flow for 3D ICs

With the globalization of manufacturing and supply chains, ensuring the ...
research
07/21/2020

DAMO: Deep Agile Mask Optimization for Full Chip Scale

Continuous scaling of the VLSI system leaves a great challenge on manufa...
research
08/11/2019

A Modern Approach to IP Protection and Trojan Prevention: Split Manufacturing for 3D ICs and Obfuscation of Vertical Interconnects

Split manufacturing (SM) and layout camouflaging (LC) are two promising ...
research
06/03/2018

Concerted Wire Lifting: Enabling Secure and Cost-Effective Split Manufacturing

Here we advance the protection of split manufacturing (SM)-based layouts...

Please sign up or login with your details

Forgot password? Click here to reset