G-GPU: A Fully-Automated Generator of GPU-like ASIC Accelerators

11/11/2021
by   Tiago Diadami Perez, et al.
0

Modern Systems on Chip (SoC), almost as a rule, require accelerators for achieving energy efficiency and high performance for specific tasks that are not necessarily well suited for execution in standard processing units. Considering the broad range of applications and necessity for specialization, the design of SoCs has thus become expressively more challenging. In this paper, we put forward the concept of G-GPU, a general-purpose GPU-like accelerator that is not application-specific but still gives benefits in energy efficiency and throughput. Furthermore, we have identified an existing gap for these accelerators in ASIC, for which no known automated generation platform/tool exists. Our solution, called GPUPlanner, is an open-source generator of accelerators, from RTL to GDSII, that addresses this gap. Our analysis results show that our automatically generated G-GPU designs are remarkably efficient when compared against the popular CPU architecture RISC-V, presenting speed-ups of up to 223 times in raw performance and up to 11 times when the metric is performance derated by area. These results are achieved by executing a design space exploration of the GPU-like accelerators, where the memory hierarchy is broken in a smart fashion and the logic is pipelined on demand. Finally, tapeout-ready layouts of the G-GPU in 65nm CMOS are presented.

READ FULL TEXT

page 1

page 5

research
07/29/2017

Address Translation Design Tradeoffs for Heterogeneous Systems

This paper presents a broad, pathfinding design space exploration of mem...
research
02/18/2020

Balancing Efficiency and Flexibility for DNN Acceleration via Temporal GPU-Systolic Array Integration

The research interest in specialized hardware accelerators for deep neur...
research
03/29/2023

Is This Computing Accelerator Evaluation Full of Hot Air?

Computing accelerators must significantly improve at least one metric su...
research
10/08/2021

Pyxis: An Open-Source Performance Dataset of Sparse Accelerators

Specialized accelerators provide gains of performance and efficiency in ...
research
05/30/2023

AutoMM: Energy-Efficient Multi-Data-Type Matrix Multiply Design on Heterogeneous Programmable System-on-Chip

As the increasing complexity of Neural Network(NN) models leads to high ...
research
09/10/2018

DNN Dataflow Choice Is Overrated

Many DNN accelerators have been proposed and built using different micro...
research
09/07/2022

Democratizing Domain-Specific Computing

In the past few years, domain-specific accelerators (DSAs), such as Goog...

Please sign up or login with your details

Forgot password? Click here to reset