Design-Phase Buffer Allocation for Post-Silicon Clock Binning by Iterative Learning

05/14/2017
by   Li Zhang, et al.
0

At submicron manufacturing technology nodes, pro- cess variations affect circuit performance significantly. To counter these variations, engineers are reserving more timing margin to maintain yield, leading to an unaffordable overdesign. Most of these margins, however, are wasted after manufacturing, because process variations cause only some chips to be really slow, while other chips can easily meet given timing specifications. To reduce this pessimism, we can reserve less timing margin and tune failed chips after manufacturing with clock buffers to make them meet timing specifications. With this post-silicon clock tuning, critical paths can be balanced with neighboring paths in each chip specifically to counter the effect of process variations. Consequently, chips with timing failures can be rescued and the yield can thus be improved. This is specially useful in high- performance designs, e.g., high-end CPUs, where clock binning makes chips with higher performance much more profitable. In this paper, we propose a method to determine where to insert post-silicon tuning buffers during the design phase to improve the overall profit with clock binning. This method learns the buffer locations with a Sobol sequence iteratively and reduces the buffer ranges afterwards with tuning concentration and buffer grouping. Experimental results demonstrate that the proposed method can achieve a profit improvement of about 14 only a small number of tuning buffers inserted into the circuit.

READ FULL TEXT
research
05/14/2017

Sampling-based Buffer Insertion for Post-Silicon Yield Improvement under Process Variability

At submicron manufacturing technology nodes process variations affect ci...
research
05/14/2017

EffiTest: Efficient Delay Test and Statistical Prediction for Configuring Post-silicon Tunable Buffers

At nanometer manufacturing technology nodes, process variations signific...
research
05/14/2017

Fast Statistical Timing Analysis for Circuits with Post-Silicon Tunable Clock Buffers

Post-Silicon Tunable (PST) clock buffers are widely used in high perform...
research
05/14/2017

Statistical Timing Analysis and Criticality Computation for Circuits with Post-Silicon Clock Tuning Elements

Post-silicon clock tuning elements are widely used in high-performance d...
research
05/14/2017

Statistical Timing Analysis for Latch-Controlled Circuits with Reduced Iterations and Graph Transformations

Level-sensitive latches are widely used in high- performance designs. Fo...
research
05/14/2017

PieceTimer: A Holistic Timing Analysis Framework Considering Setup/Hold Time Interdependency Using A Piecewise Model

In static timing analysis, clock-to-q delays of flip-flops are considere...

Please sign up or login with your details

Forgot password? Click here to reset