AMF-Placer 2.0: Open Source Timing-driven Analytical Mixed-size Placer for Large-scale Heterogeneous FPGA

10/17/2022
by   Tingyuan Liang, et al.
0

On modern field-programmable gate arrays (FPGAs), certain critical path portions of the designs might be prearranged into many multi-cell macros during synthesis. These movable macros with constraints of shape and resources lead to challenging mixed-size placement for FPGA designs which cannot be addressed by previous analytical placers. Moreover, general timing-driven placement algorithms are facing challenges when handling real-world application design and ultrascale FPGA architectures. In this work, we propose AMF-Placer 2.0, an open-source comprehensive timing-driven analytical mixed-size FPGA placer. It supports mixed-size placement of heterogeneous resources (e.g., LUT/FF/LUTRAM/MUX/CARRY/DSP/BRAM) on FPGA, with an interface to Xilinx Vivado. Standing upon the shoulders of AMF-Placer 1.0, AMFPlacer 2.0 is equipped with a series of new techniques for timing optimization, including a simple but effective timing model, placement-blockage-aware anchor insertion, WNS-aware timing-driven quadratic placement, and sector-guided detailed placement. Based on a set of the latest large open-source benchmarks from various domains for Xilinx Ultrascale FPGAs, experimental results indicate that critical path delays realized by AMF-Placer 2.0 are averagely 2.2 those achieved by commercial tool Xilinx Vivavo 2020.2 and 2021.2 respectively. Meanwhile, the average runtime of placement procedure of AMF-Placer 2.0 is 14 and 8.5 limited by the absence of the exact timing model of the device, the information of design hierarchy and accurate routing feedback, AMF-Placer 2.0 is the first open-source FPGA placer which can handle the timingdriven mixed-size placement of practical complex designs with various FPGA resources and achieves the comparable quality to the latest commercial tools.

READ FULL TEXT

page 1

page 2

page 6

research
06/29/2023

OpenPARF: An Open-Source Placement and Routing Framework for Large-Scale Heterogeneous FPGAs with Deep Learning Toolkit

This paper proposes OpenPARF, an open-source placement and routing frame...
research
03/16/2023

Multi-Electrostatic FPGA Placement Considering SLICEL-SLICEM Heterogeneity, Clock Feasibility, and Timing Optimization

When modern FPGA architecture becomes increasingly complicated, modern F...
research
02/17/2020

RapidLayout: Fast Hard Block Placement of FPGA-optimized Systolic Arrays using Evolutionary Algorithms

Evolutionary algorithms can outperform conventional placement algorithms...
research
03/25/2019

Yosys+nextpnr: an Open Source Framework from Verilog to Bitstream for Commercial FPGAs

This paper introduces a fully free and open source software (FOSS) archi...
research
08/07/2023

LEAPS: Topological-Layout-Adaptable Multi-die FPGA Placement for Super Long Line Minimization

Multi-die FPGAs are crucial components in modern computing systems, part...
research
08/16/2021

High-Resolution Waveform Capture Device on a Cyclone-V FPGA

We introduce the waveform capture device (WCD), a flexible measurement s...
research
10/26/2021

Exploring eFPGA-based Redaction for IP Protection

Recently, eFPGA-based redaction has been proposed as a promising solutio...

Please sign up or login with your details

Forgot password? Click here to reset