X-Volt: Joint Tuning of Driver Strengths and Supply Voltages Against Power Side-Channel Attacks

11/15/2022
by   Saideep Sreekumar, et al.
0

Power side-channel (PSC) attacks are well-known threats to sensitive hardware like advanced encryption standard (AES) crypto cores. Given the significant impact of supply voltages (VCCs) on power profiles, various countermeasures based on VCC tuning have been proposed, among other defense strategies. Driver strengths of cells, however, have been largely overlooked, despite having direct and significant impact on power profiles as well. For the first time, we thoroughly explore the prospects of jointly tuning driver strengths and VCCs as novel working principle for PSC-attack countermeasures. Toward this end, we take the following steps: 1) we develop a simple circuit-level scheme for tuning; 2) we implement a CAD flow for design-time evaluation of ASICs, enabling security assessment of ICs before tape-out; 3) we implement a correlation power analysis (CPA) framework for thorough and comparative security analysis; 4) we conduct an extensive experimental study of a regular AES design, implemented in ASIC as well as FPGA fabrics, under various tuning scenarios; 5) we summarize design guidelines for secure and efficient joint tuning. In our experiments, we observe that runtime tuning is more effective than static tuning, for both ASIC and FPGA implementations. For the latter, the AES core is rendered >11.8x (i.e., at least 11.8 times) as resilient as the untuned baseline design. Layout overheads can be considered acceptable, with, e.g., around +10 We will release source codes for our methodology, as well as artifacts from the experimental study, post peer-review.

READ FULL TEXT
research
11/15/2022

Security Closure of IC Layouts Against Hardware Trojans

Due to cost benefits, supply chains of integrated circuits (ICs) are lar...
research
07/08/2020

Power Side-Channel Attacks in Negative Capacitance Transistor (NCFET)

Side-channel attacks have empowered bypassing of cryptographic component...
research
07/12/2022

A Security-aware and LUT-based CAD Flow for the Physical Synthesis of eASICs

Numerous threats are associated with the globalized integrated circuit (...
research
07/05/2023

Securing Cloud FPGAs Against Power Side-Channel Attacks: A Case Study on Iterative AES

The various benefits of multi-tenanting, such as higher device utilizati...
research
07/25/2023

Determining the Optimal Frequencies for a Duplicated Randomized Clock SCA Countermeasure

Side-channel attacks pose significant challenges to the security of embe...
research
03/15/2022

Tethered Power Supply for Quadcopters: Architecture, Analysis and Experiments

Tethered quadcopters are used for extended flight operations where the n...
research
01/28/2023

Non-Hermitian Physics-Inspired Voltage-Controlled Oscillators with Resistive Tuning

This paper presents a non-Hermitian physics-inspired voltage-controlled ...

Please sign up or login with your details

Forgot password? Click here to reset