TS Cache: A Fast Cache with Timing-speculation Mechanism Under Low Supply Voltages

04/25/2019
by   Shan Shen, et al.
0

To mitigate the ever-worsening Power Wall problem, more and more applications need to expand their power supply to the wide-voltage range including the near-threshold region. However, the read delay distribution of the SRAM cells under the near-threshold voltage shows a more serious long-tail characteristic than that under the nominal voltage due to the process fluctuation. Such degradation of SRAM delay makes the SRAM-based cache a performance bottleneck of systems as well. To avoid the unreliable data reading, circuit-level studies use larger/more transistors in a bitcell by scarifying chip area and the static power of cache arrays. Architectural studies propose the auxiliary error correction or block disabling/remapping methods in fault-tolerant caches, which worsen both the hit latency and energy efficiency due to the complex accessing logic. This paper proposes the Timing-Speculation (TS) cache to boost the cache frequency and improve energy efficiency under low supply voltages. In the TS cache, the voltage differences of bitlines are continuously evaluated twice by a sense amplifier (SA), and the access timing error can be detected much earlier than that in prior methods. According to the measurement results from the fabricated chips, the TS L1 cache aggressively increases its frequency to 1.62X and 1.92X compared with the conventional scheme at 0.5V and 0.6V supply voltages, respectively.

READ FULL TEXT

page 1

page 7

page 9

research
12/23/2021

Using Silent Writes in Low-Power Traffic-Aware ECC

Using Error Detection Code (EDC) and Error Correction Code (ECC) is a no...
research
09/04/2023

Scalable Hierarchical Instruction Cache for Ultra-Low-Power Processors Clusters

High Performance and Energy Efficiency are critical requirements for Int...
research
08/11/2021

Taming Process Variations in CNFET for Efficient Last Level Cache Design

Carbon nanotube field-effect transistors (CNFET) emerge as a promising a...
research
12/07/2020

Low-Latency Asynchronous Logic Design for Inference at the Edge

Modern internet of things (IoT) devices leverage machine learning infere...
research
05/18/2019

Performance Analysis of 6T and 9T SRAM

The SRAM cell is made up of latch, which ensures that the cell data is p...
research
03/29/2019

Evaluating Built-in ECC of FPGA on-chip Memories for the Mitigation of Undervolting Faults

Voltage underscaling below the nominal level is an effective solution fo...
research
04/07/2022

Forecasting lifetime and performance of a novel NVM last-level cache with compression

Non-volatile memory (NVM) technologies are interesting alternatives for ...

Please sign up or login with your details

Forgot password? Click here to reset