Towards the Avoidance of Counterfeit Memory: Identifying the DRAM Origin

11/08/2019
by   B. M. S. Bahar Talukder, et al.
0

Due to the globalization in the semiconductor supply chain, counterfeit dynamic random-access memory (DRAM) chips/modules have been spreading worldwide at an alarming rate. Deploying counterfeit DRAM modules into an electronic system can have severe consequences on security and reliability domains because of their sub-standard quality, poor performance, and shorter life span. Besides, studies suggest that a counterfeit DRAM can be more vulnerable to sophisticated attacks. However, detecting counterfeit DRAMs is very challenging because of their nature and ability to pass the initial testing. In this paper, we propose a technique to identify the DRAM origin (i.e., the origin of the manufacturer and the specification of individual DRAM) to detect and prevent counterfeit DRAM modules. A silicon evaluation shows that the proposed method reliably identifies off-the-shelf DRAM modules from three major manufacturers.

READ FULL TEXT

page 6

page 8

page 9

research
07/19/2021

A Non-invasive Technique to Detect Authentic/Counterfeit SRAM Chips

Many commercially available memory chips are fabricated worldwide in unt...
research
02/12/2019

Intrinsic Rowhammer PUFs: Leveraging the Rowhammer Effect for Improved Security

Physically Unclonable Functions (PUFs) have become an important and prom...
research
10/20/2021

Uncovering In-DRAM RowHammer Protection Mechanisms: A New Methodology, Custom RowHammer Patterns, and Implications

The RowHammer vulnerability in DRAM is a critical threat to system secur...
research
06/06/2023

X-ray: Discovering DRAM Internal Structure and Error Characteristics by Issuing Memory Commands

The demand for accurate information about the internal structure and cha...
research
11/30/2022

ALARM: Active LeArning of Rowhammer Mitigations

Rowhammer is a serious security problem of contemporary dynamic random-a...
research
04/03/2020

TRRespass: Exploiting the Many Sides of Target Row Refresh

After a plethora of high-profile RowHammer attacks, CPU and DRAM vendors...
research
10/09/2019

Improvement in Retention Time of Capacitorless DRAM with Access Transistor

In this paper, we propose a Junctionless (JL)/Accumulation Mode (AM) tra...

Please sign up or login with your details

Forgot password? Click here to reset