TNN7: A Custom Macro Suite for Implementing Highly Optimized Designs of Neuromorphic TNNs

05/16/2022
by   Harideep Nair, et al.
0

Temporal Neural Networks (TNNs), inspired from the mammalian neocortex, exhibit energy-efficient online sensory processing capabilities. Recent works have proposed a microarchitecture framework for implementing TNNs and demonstrated competitive performance on vision and time-series applications. Building on these previous works, this work proposes TNN7, a suite of nine highly optimized custom macros developed using a predictive 7nm Process Design Kit (PDK), to enhance the efficiency, modularity and flexibility of the TNN design framework. TNN prototypes for two applications are used for evaluation of TNN7. An unsupervised time-series clustering TNN delivering competitive performance can be implemented within 40 uW power and 0.05 mm^2 area, while a 4-layer TNN that achieves an MNIST error rate of 1 24.63 mm^2. On average, the proposed macros reduce power, delay, area, and energy-delay product by 14 employing TNN7 significantly reduces the synthesis runtime of TNN designs (by more than 3x), allowing for highly-scaled TNN implementations to be realized.

READ FULL TEXT

page 1

page 6

research
05/27/2022

Towards a Design Framework for TNN-Based Neuromorphic Sensory Processing Units

Temporal Neural Networks (TNNs) are spiking neural networks that exhibit...
research
12/10/2020

A Custom 7nm CMOS Standard Cell Library for Implementing TNN-based Neuromorphic Processors

A set of highly-optimized custom macro extensions is developed for a 7nm...
research
02/18/2021

Unsupervised Clustering of Time Series Signals using Neuromorphic Energy-Efficient Temporal Neural Networks

Unsupervised time series clustering is a challenging problem with divers...
research
05/27/2021

A Microarchitecture Implementation Framework for Online Learning with Temporal Neural Networks

Temporal Neural Networks (TNNs) are spiking neural networks that use tim...
research
08/27/2020

Direct CMOS Implementation of Neuromorphic Temporal Neural Networks for Sensory Processing

Temporal Neural Networks (TNNs) use time as a resource to represent and ...
research
08/01/2020

Custom Tailored Suite of Random Forests for Prefetcher Adaptation

To close the gap between memory and processors, and in turn improve perf...
research
11/09/2021

Adaptable Register File Organization for Vector Processors

Modern scientific applications are getting more diverse, and the vector ...

Please sign up or login with your details

Forgot password? Click here to reset