TinyVers: A Tiny Versatile System-on-chip with State-Retentive eMRAM for ML Inference at the Extreme Edge

01/09/2023
by   Vikram Jain, et al.
0

Extreme edge devices or Internet-of-thing nodes require both ultra-low power always-on processing as well as the ability to do on-demand sampling and processing. Moreover, support for IoT applications like voice recognition, machine monitoring, etc., requires the ability to execute a wide range of ML workloads. This brings challenges in hardware design to build flexible processors operating in ultra-low power regime. This paper presents TinyVers, a tiny versatile ultra-low power ML system-on-chip to enable enhanced intelligence at the Extreme Edge. TinyVers exploits dataflow reconfiguration to enable multi-modal support and aggressive on-chip power management for duty-cycling to enable smart sensing applications. The SoC combines a RISC-V host processor, a 17 TOPS/W dataflow reconfigurable ML accelerator, a 1.7 μW deep sleep wake-up controller, and an eMRAM for boot code and ML parameter retention. The SoC can perform up to 17.6 GOPS while achieving a power consumption range from 1.7 μW-20 mW. Multiple ML workloads aimed for diverse applications are mapped on the SoC to showcase its flexibility and efficiency. All the models achieve 1-2 TOPS/W of energy efficiency with power consumption below 230 μW in continuous operation. In a duty-cycling use case for machine monitoring, this power is reduced to below 10 μW.

READ FULL TEXT

page 1

page 3

page 5

page 6

page 7

research
07/12/2023

Flexible and Fully Quantized Ultra-Lightweight TinyissimoYOLO for Ultra-Low-Power Edge Systems

This paper deploys and explores variants of TinyissimoYOLO, a highly fle...
research
10/21/2020

Ultra-low power on-chip learning of speech commands with phase-change memories

Embedding artificial intelligence at the edge (edge-AI) is an elegant so...
research
07/11/2022

An Ultra-low Power TinyML System for Real-time Visual Processing at Edge

Tiny machine learning (TinyML), executing AI workloads on resource and p...
research
04/11/2023

SamurAI: A Versatile IoT Node With Event-Driven Wake-Up and Embedded ML Acceleration

Increased capabilities such as recognition and self-adaptability are now...
research
03/11/2011

Transparent Programming of Heterogeneous Smartphones for Sensing

Sensing on smartphones is known to be power-hungry. It has been shown th...
research
07/16/2021

DNN is not all you need: Parallelizing Non-Neural ML Algorithms on Ultra-Low-Power IoT Processors

Machine Learning (ML) functions are becoming ubiquitous in latency- and ...
research
08/01/2021

Automated Pest Detection with DNN on the Edge for Precision Agriculture

Artificial intelligence has smoothly penetrated several economic activit...

Please sign up or login with your details

Forgot password? Click here to reset