TA-LRW: A Replacement Policy for Error Rate Reduction in STT-MRAM Caches

01/12/2022
by   Elham Cheshmikhani, et al.
0

As technology process node scales down, on-chip SRAM caches lose their efficiency because of their low scalability, high leakage power, and increasing rate of soft errors. Among emerging memory technologies, Spin-Transfer Torque Magnetic RAM (STT-MRAM) is known as the most promising replacement for SRAM-based cache memories. The main advantages of STT-MRAM are its non-volatility, near-zero leakage power, higher density, soft-error immunity, and higher scalability. Despite these advantages, the high error rate in STT-MRAM cells due to retention failure, write failure, and read disturbance threatens the reliability of cache memories built upon STT-MRAM technology. The error rate is significantly increased in higher temperatures, which further affects the reliability of STT-MRAM-based cache memories. The major source of heat generation and temperature increase in STT-MRAM cache memories is write operations, which are managed by cache replacement policy. In this paper, we first analyze the cache behavior in the conventional LRU replacement policy and demonstrate that the majority of consecutive write operations (more than 66 are committed to adjacent cache blocks. These adjacent write operations cause accumulated heat and increased temperature, which significantly increases the cache error rate. To eliminate heat accumulation and the adjacency of consecutive writes, we propose a cache replacement policy, named Thermal-Aware Least-Recently Written (TA-LRW), to smoothly distribute the generated heat by conducting consecutive write operations in distant cache blocks. TA-LRW guarantees the distance of at least three blocks for each two consecutive write operations in an 8-way associative cache. This distant write scheme reduces the temperature-induced error rate by 94.8 conventional LRU policy, which results in 6.9x reduction in cache error rate.

READ FULL TEXT

page 5

page 9

page 11

page 12

page 16

page 17

page 18

research
01/08/2022

A System-Level Framework for Analytical and Empirical Reliability Exploration of STT-MRAM Caches

Spin-Transfer Torque Magnetic RAM (STT-MRAM) is known as the most promis...
research
06/10/2016

MAC: a novel systematically multilevel cache replacement policy for PCM memory

The rapid development of multi-core system and increase of data-intensiv...
research
04/20/2022

L2C2: Last-Level Compressed-Cache NVM and a Procedure to Forecast Performance and Lifetime

Several emerging non-volatile (NV) memory technologies are rising as int...
research
09/28/2015

Yield, Area and Energy Optimization in Stt-MRAMs using failure aware ECC

Spin Transfer Torque MRAMs are attractive due to their non-volatility, h...
research
12/01/2019

Evaluating Reliability of SSD-Based I/O Caches in Enterprise Storage Systems

In this paper, we present a comprehensive analysis investigating the rel...
research
11/01/2020

Mitigating Write Disturbance Errors of Phase-Change Memory as In-Module Approach

With the growing demand for technology scaling and storage capacity in s...
research
05/13/2021

Comprehensive Study of Security and Privacy of Emerging Non-Volatile Memories

At the end of Silicon roadmap, keeping the leakage power in tolerable li...

Please sign up or login with your details

Forgot password? Click here to reset