SysScale: Exploiting Multi-domain Dynamic Voltage and Frequency Scaling for Energy Efficient Mobile Processors

05/15/2020
by   Jawad Haj-Yahya, et al.
0

There are three domains in a modern thermally-constrained mobile system-on-chip (SoC): compute, IO, and memory. We observe that a modern SoC typically allocates a fixed power budget, corresponding to worst-case performance demands, to the IO and memory domains even if they are underutilized. The resulting unfair allocation of the power budget across domains can cause two major issues: 1) the IO and memory domains can operate at a higher frequency and voltage than necessary, increasing power consumption and 2) the unused power budget of the IO and memory domains cannot be used to increase the throughput of the compute domain, hampering performance. To avoid these issues, it is crucial to dynamically orchestrate the distribution of the SoC power budget across the three domains based on their actual performance demands. We propose SysScale, a new multi-domain power management technique to improve the energy efficiency of mobile SoCs. SysScale is based on three key ideas. First, SysScale introduces an accurate algorithm to predict the performance (e.g., bandwidth and latency) demands of the three SoC domains. Second, SysScale uses a new DVFS (dynamic voltage and frequency scaling) mechanism to distribute the SoC power to each domain according to the predicted performance demands. Third, in addition to using a global DVFS mechanism, SysScale uses domain-specialized techniques to optimize the energy efficiency of each domain at different operating points. We implement SysScale on an Intel Skylake microprocessor for mobile devices and evaluate it using a wide variety of SPEC CPU2006, graphics (3DMark), and battery life workloads (e.g., video playback). On a 2-core Skylake, SysScale improves the performance of SPEC CPU2006 and 3DMark workloads by up to 16 8.9

READ FULL TEXT

page 1

page 2

page 3

page 4

research
09/18/2020

FlexWatts: A Power- and Workload-Aware Hybrid Power Delivery Network for Energy-Efficient Microprocessors

Modern client processors typically use one of three commonly-used power ...
research
12/22/2021

DarkGates: A Hybrid Power-Gating Architecture to Mitigate the Performance Impact of Dark-Silicon in High Performance Processors

To reduce the leakage power of inactive (dark) silicon components, moder...
research
05/04/2020

Dim Silicon and the Case for Improved DVFS Policies

Due to thermal and power supply limits, modern Intel CPUs reduce their f...
research
04/25/2023

Evaluating the Energy Measurements of the IBM POWER9 On-Chip Controller

Dependable power measurements are the backbone of energy-efficient compu...
research
09/27/2018

Adaptive Pruning of Neural Language Models for Mobile Devices

Neural language models (NLMs) exist in an accuracy-efficiency tradeoff s...
research
06/18/2021

A System-Level Voltage/Frequency Scaling Characterization Framework for Multicore CPUs

Supply voltage scaling is one of the most effective techniques to reduce...
research
07/05/2019

Adaptive Predictive Power Management for Mobile LTE Devices

Reducing the energy consumption of mobile phones is a crucial design goa...

Please sign up or login with your details

Forgot password? Click here to reset