Statistical Timing Analysis and Criticality Computation for Circuits with Post-Silicon Clock Tuning Elements

05/14/2017
by   Bing Li, et al.
0

Post-silicon clock tuning elements are widely used in high-performance designs to mitigate the effects of process variations and aging. Located on clock paths to flip-flops, these tuning elements can be configured through the scan chain so that clock skews to these flip-flops can be adjusted after man- ufacturing. Owing to the delay compensation across consecutive register stages enabled by the clock tuning elements, higher yield and enhanced robustness can be achieved. These benefits are, nonetheless, attained by increasing die area due to the inserted clock tuning elements. For balancing performance improvement and area cost, an efficient timing analysis algorithm is needed to evaluate the performance of such a circuit. So far this evaluation is only possible by Monte Carlo simulation which is very timing- consuming. In this paper, we propose an alternative method using graph transformation, which computes a parametric minimum clock period and is more than 10 4 times faster than Monte Carlo simulation while maintaining a good accuracy. This method also identifies the gates that are critical to circuit performance, so that a fast analysis-optimization flow becomes possible.

READ FULL TEXT

page 1

page 2

page 3

page 4

research
05/14/2017

Fast Statistical Timing Analysis for Circuits with Post-Silicon Tunable Clock Buffers

Post-Silicon Tunable (PST) clock buffers are widely used in high perform...
research
05/14/2017

Statistical Timing Analysis for Latch-Controlled Circuits with Reduced Iterations and Graph Transformations

Level-sensitive latches are widely used in high- performance designs. Fo...
research
05/14/2017

Design-Phase Buffer Allocation for Post-Silicon Clock Binning by Iterative Learning

At submicron manufacturing technology nodes, pro- cess variations affect...
research
05/14/2017

PieceTimer: A Holistic Timing Analysis Framework Considering Setup/Hold Time Interdependency Using A Piecewise Model

In static timing analysis, clock-to-q delays of flip-flops are considere...
research
05/14/2017

Sampling-based Buffer Insertion for Post-Silicon Yield Improvement under Process Variability

At submicron manufacturing technology nodes process variations affect ci...
research
03/02/2020

TimingCamouflage+: Netlist Security Enhancement with Unconventional Timing (with Appendix)

With recent advances in reverse engineering, attackers can reconstruct a...
research
04/25/2016

Balancing Appearance and Context in Sketch Interpretation

We describe a sketch interpretation system that detects and classifies c...

Please sign up or login with your details

Forgot password? Click here to reset