Standard Cell Library Evaluation with Multiple lithography-compliant verification and Improved Synopsys Pin Access Checking Utility

05/28/2018
by   Yongfu Li, et al.
0

While standard cell layouts are drawn with minimum design rules to maximize the benefit of design area shrinkage, the complicated design rules have caused difficulties with signal routes accessing the pins in standard cell layouts. As a result, it has become a great challenge for physical layout designers to design a standard cell layout that is optimized for area, power, timing, signal integrity, and printability. Multiple design iterations are required to consider pin accessibility during standard cells layout to increase the number of feasible solutions available to the router. In this work, we will demonstrate several improvements with the Synopsys PAC methodology, such as reducing the number of cells required for each Synopsys 'testcell' with the same cell abutment condition, increasing the complexity of the pin connection for better pin accessibility evaluation. We also recommend additional constraints to improve the probability of detecting pin accessibility issues. We also integrate other physical verification methods to access the design rule compliance and the printability of standard cells. We hope that the easy to use utility enables layout engineers to perform the verification, simplifying the verification methodology.

READ FULL TEXT

page 6

page 7

page 9

page 10

page 11

page 17

page 22

page 23

research
05/25/2018

Constraining the Synopsys Pin Access Checker Utility for Improved Standard Cells Library Verification Flow

While standard cell layouts are drawn with minimum design rules for maxi...
research
05/28/2018

Multiple-Lithography-Compliant Verification for Standard Cell Library Development Flow

Starting from 22-nm, a standard cell must be designed to be full lithogr...
research
10/02/2018

An Automated System for Checking Lithography Friendliness of Standard Cells

At advanced process nodes, lithography weakpoints can exist in physical ...
research
05/25/2018

In Design DFM Rule Scoring and Fixing Method using ICV

As compared to DRC rules, DFM rules are a list of selected recommended r...
research
05/25/2018

Advanced In-Design Auto-Fixing Flow for Cell Abutment Pattern Matching Weakpoints

Pattern matching design verification has gained noticeable attention in ...
research
09/09/2020

Development of a Predictive Process Design kit for15-nm FinFETs: FreePDK15

FinFETs are predicted to advance semiconductorscaling for sub-20nm devic...
research
04/30/2021

Open-Source Memory Compiler for Automatic RRAM Generation and Verification

The lack of open-source memory compilers in academia typically causes si...

Please sign up or login with your details

Forgot password? Click here to reset