Spy in the GPU-box: Covert and Side Channel Attacks on Multi-GPU Systems

03/30/2022
by   Sankha Baran Dutta, et al.
0

The deep learning revolution has been enabled in large part by GPUs, and more recently accelerators, which make it possible to carry out computationally demanding training and inference in acceptable times. As the size of machine learning networks and workloads continues to increase, multi-GPU machines have emerged as an important platform offered on High Performance Computing and cloud data centers. As these machines are shared between multiple users, it becomes increasingly important to protect applications against potential attacks. In this paper, we explore the vulnerability of Nvidia's DGX multi-GPU machines to covert and side channel attacks. These machines consist of a number of discrete GPUs that are interconnected through a combination of custom interconnect (NVLink) and PCIe connections. We reverse engineer the cache hierarchy and show that it is possible for an attacker on one GPU to cause contention on the L2 cache of another GPU. We use this observation to first develop a covert channel attack across two GPUs, achieving the best bandwidth of 3.95 MB/s. We also develop a prime and probe attack on a remote GPU allowing an attacker to recover the cache hit and miss behavior of another workload. This basic capability can be used in any number of side channel attacks: we demonstrate a proof of concept attack that fingerprints the application running on the remote GPU, with high accuracy. Our work establishes for the first time the vulnerability of these machines to microarchitectural attacks, and we hope that it guides future research to improve their security.

READ FULL TEXT

page 1

page 10

page 11

research
07/27/2018

NetSpectre: Read Arbitrary Memory over Network

In this paper, we present NetSpectre, a generic remote Spectre variant 1...
research
11/19/2020

Leaky Buddies: Cross-Component Covert Channels on Integrated CPU-GPU Systems

Graphics Processing Units (GPUs) are a ubiquitous component across the r...
research
04/23/2021

ClepsydraCache – Preventing Cache Attacks with Time-Based Evictions

Both the shift towards attacks on the microarchitectural CPU level and t...
research
09/29/2021

Seeds of SEED: A Side-Channel Resilient Cache Skewed by a Linear Function over a Galois Field

Consider a set-associative cache with p^n sets and p^n ways where p is p...
research
10/08/2018

Security Analysis of Deep Neural Networks Operating in the Presence of Cache Side-Channel Attacks

Recent work has introduced attacks that extract the architecture informa...
research
07/31/2020

Hardware/Software Obfuscation against Timing Side-channel Attack on a GPU

GPUs are increasingly being used in security applications, especially fo...
research
03/08/2020

A Compiler Assisted Scheduler for Detecting and Mitigating Cache-Based Side Channel Attacks

Detection and mitigation of side-channel attacks is a very important pro...

Please sign up or login with your details

Forgot password? Click here to reset