SCALE-Sim: Systolic CNN Accelerator Simulator

10/16/2018
by   Ananda Samajdar, et al.
0

Systolic Arrays are one of the most popular compute substrates within Deep Learning accelerators today, as they provide extremely high efficiency for running dense matrix multiplications. However, the research community lacks tools to insights on both the design trade-offs and efficient mapping strategies for systolic-array based accelerators. We introduce Systolic CNN Accelerator Simulator (SCALE-Sim), which is a configurable systolic array based cycle accurate DNN accelerator simulator. SCALE-Sim exposes various micro-architectural features as well as system integration parameters to the designer to enable comprehensive design space exploration. This is the first systolic-array simulator tuned for running DNNs to the best of our knowledge. Using SCALE-Sim, we conduct a suite of case studies and demonstrate the effect of bandwidth, data flow and aspect ratio on the overall runtime and energy of Deep Learning kernels across vision, speech, text, and games. We believe that these insights will be highly beneficial to architects and ML practitioners.

READ FULL TEXT
research
10/16/2018

SCALE-Sim: Systolic CNN Accelerator

Systolic Arrays are one of the most popular compute substrates within De...
research
07/29/2020

Transaction-level Model Simulator for Communication-Limited Accelerators

Rapid design space exploration in early design stage is critical to algo...
research
06/10/2020

STONNE: A Detailed Architectural Simulator for Flexible Neural Network Accelerators

The design of specialized architectures for accelerating the inference p...
research
01/12/2021

Self-Adaptive Reconfigurable Arrays (SARA): Using ML to Assist Scaling GEMM Acceleration

With increasing diversity in Deep Neural Network(DNN) models in terms of...
research
06/07/2022

A Formalism of DNN Accelerator Flexibility

The high efficiency of domain-specific hardware accelerators for machine...
research
03/22/2022

Scale-out Systolic Arrays

Multi-pod systolic arrays are emerging as the architecture of choice in ...
research
06/24/2020

On the Difficulty of Designing Processor Arrays for Deep Neural Networks

Systolic arrays are a promising computing concept which is in particular...

Please sign up or login with your details

Forgot password? Click here to reset