RTL-PSC: Automated Power Side-Channel Leakage Assessment at Register-Transfer Level

01/17/2019
by   Jungmin Park, et al.
0

Power side-channel attacks (SCAs) have become a major concern to the security community due to their non-invasive feature, low-cost, and effectiveness in extracting secret information from hardware implementation of cryto algorithms. Therefore, it is imperative to evaluate if the hardware is vulnerable to SCAs during its design and validation stages. Currently, however, there is little-known effort in evaluating the vulnerability of a hardware to SCAs at early design stage. In this paper, we propose, for the first time, an automated framework, named RTL-PSC, for power side-channel leakage assessment of hardware crypto designs at register-transfer level (RTL) with built-in evaluation metrics. RTL-PSC first estimates power profile of a hardware design using functional simulation at RTL. Then it utilizes the evaluation metrics, comprising of KL divergence metric and the success rate (SR) metric based on maximum likelihood estimation to perform power side-channel leakage (PSC) vulnerability assessment at RTL. We analyze Galois-Field (GF) and Look-up Table (LUT) based AES designs using RTL-PSC and validate its effectiveness and accuracy through both gate-level simulation and FPGA results. RTL-PSC is also capable of identifying blocks inside the design that contribute the most to the PSC vulnerability which can be used for efficient countermeasure implementation.

READ FULL TEXT

page 1

page 6

research
04/25/2022

Gate-Level Side-Channel Leakage Assessment with Architecture Correlation Analysis

While side-channel leakage is traditionally evaluated from a fabricated ...
research
04/08/2022

Leverage the Average: Averaged Sampling in Pre-Silicon Side-Channel Leakage Assessment

Pre-silicon side-channel leakage assessment is a useful tool to identify...
research
07/04/2021

Real-time Detection and Adaptive Mitigation of Power-based Side-Channel Leakage in SoC

Power-based side-channel is a serious security threat to the System on C...
research
01/27/2022

CacheFX: A Framework for Evaluating Cache Security

Over the last two decades, the danger of sharing resources between progr...
research
03/22/2023

A Cycle-Accurate Soft Error Vulnerability Analysis Framework for FPGA-based Designs

Many aerospace and automotive applications use FPGAs in their designs du...
research
08/07/2022

HWGN2: Side-channel Protected Neural Networks through Secure and Private Function Evaluation

Recent work has highlighted the risks of intellectual property (IP) pira...
research
06/06/2020

SCARL: Side-Channel Analysis with Reinforcement Learning on the Ascon Authenticated Cipher

Existing side-channel analysis techniques require a leakage model, in th...

Please sign up or login with your details

Forgot password? Click here to reset