RRCD: Redirección de Registros Basada en Compresión de Datos para Tolerar FallosPermanentes en una GPU

05/09/2021
by   Yamilka Toca-Díaz, et al.
0

The ever-increasing parallelism demand of General-Purpose Graphics Processing Unit (GPGPU) applications pushes toward larger and more energy-hungry register files in successive GPU generations. Reducing the supply voltage beyond its safe limit is an effective way to improve the energy efficiency of register files. However, at these operating voltages, the reliability of the circuit is compromised. This work aims to tolerate permanent faults from process variations in large GPU register files operating below the safe supply voltage limit. To do so, this paper proposes a microarchitectural patching technique, DC-Patch, exploiting the inherent data redundancy of applications to compress registers at run-time with neither compiler assistance nor instruction set modifications. Instead of disabling an entire faulty register file entry, DC-Patch leverages the reliable cells within a faulty entry to store compressed register values. Experimental results show that, with more than a third of faulty register entries, DC-Patch ensures a reliable operation of the register file and reduces the energy consumption by 47 register file working at nominal supply voltage. The energy savings are 21 compared to a voltage noise smoothing scheme operating at the safe supply voltage limit. These benefits are obtained with less than 2 and 6 the system performance and area, respectively.

READ FULL TEXT

page 1

page 2

page 3

page 4

research
09/14/2017

GREENER: A Tool for Improving Energy Efficiency of Register Files

Graphics Processing Units (GPUs) maintain a large register file to incre...
research
10/19/2020

Enabling High-Capacity, Latency-Tolerant, and Highly-Concurrent GPU Register Files via Software/Hardware Cooperation

Graphics Processing Units (GPUs) employ large register files to accommod...
research
10/27/2017

Modeling and Real-Time Scheduling of DC Platform Supply Vessel for Fuel Efficient Operation

DC marine architecture integrated with variable speed diesel generators ...
research
08/08/2018

A Hybrid Dynamic-regenerative Damping Scheme for Energy Regeneration in Variable Impedance Actuators

Increasing research efforts have been made to improve the energy efficie...
research
06/10/2020

A GPU Register File using Static Data Compression

GPUs rely on large register files to unlock thread-level parallelism for...
research
11/23/2021

Health Detection on Cattle Compressed Images in Precision Livestock Farming

The constant population growth brings the needing to make up for food al...
research
06/10/2019

Transport Triggered Array Processor for Vision Applications

Low-level sensory data processing in many Internet-of-Things (IoT) devic...

Please sign up or login with your details

Forgot password? Click here to reset