RowHammer and Beyond

03/25/2019
by   Onur Mutlu, et al.
0

We will discuss the RowHammer problem in DRAM, which is a prime (and likely the first) example of how a circuit-level failure mechanism in Dynamic Random Access Memory (DRAM) can cause a practical and widespread system security vulnerability. RowHammer is the phenomenon that repeatedly accessing a row in a modern DRAM chip predictably causes errors in physically-adjacent rows. It is caused by a hardware failure mechanism called read disturb errors. Building on our initial fundamental work that appeared at ISCA 2014, Google Project Zero demonstrated that this hardware phenomenon can be exploited by user-level programs to gain kernel privileges. Many other recent works demonstrated other attacks exploiting RowHammer, including remote takeover of a server vulnerable to RowHammer. We will analyze the root causes of the problem and examine solution directions. We will also discuss what other problems may be lurking in DRAM and other types of memories, e.g., NAND flash and Phase Change Memory, which can potentially threaten the foundations of reliable and secure systems, as the memory technologies scale to higher densities.

READ FULL TEXT

page 1

page 2

page 3

page 4

research
04/22/2019

RowHammer: A Retrospective

This retrospective paper describes the RowHammer problem in Dynamic Rand...
research
11/14/2022

Fundamentally Understanding and Solving RowHammer

We provide an overview of recent developments and future directions in t...
research
01/03/2020

TrappeD: DRAM Trojan Designs for Information Leakage and Fault Injection Attacks

In this paper, we investigate the advanced circuit features such as word...
research
06/28/2023

Retrospective: Flipping Bits in Memory Without Accessing Them: An Experimental Study of DRAM Disturbance Errors

Our ISCA 2014 paper provided the first scientific and detailed character...
research
02/11/2021

BlockHammer: Preventing RowHammer at Low Cost by Blacklisting Rapidly-Accessed DRAM Rows

Aggressive memory density scaling causes modern DRAM devices to suffer f...
research
08/06/2018

Exploiting DRAM Latency Variations for Generating True Random Numbers

True random number generator (TRNG) plays a vital role in cryptography t...
research
04/03/2020

TRRespass: Exploiting the Many Sides of Target Row Refresh

After a plethora of high-profile RowHammer attacks, CPU and DRAM vendors...

Please sign up or login with your details

Forgot password? Click here to reset