Report on power, thermal and reliability prediction for 3D Networks-on-Chip

03/19/2020
by   Khanh N. Dang, et al.
0

By combining Three Dimensional Integrated Circuits with the Network-on-Chip infrastructure to obtain 3D Networks-on-Chip (3D-NoCs), the new on-chip communication paradigm brings several advantages on lower power, smaller footprint and lower latency. However, thermal dissipation is one of the most critical challenges for 3D-ICs where the heat cannot easily transfer through several layers of silicon. Consequently, the high-temperature area also confronts the reliability threat as the Mean Time to Failure (MTTF) decreases exponentially with the operating temperature. Apparently, 3D-NoCs must tackle this fundamental problem in order to be widely used. Therefore, in this work, we investigate the thermal distribution and reliability prediction of 3D-NoCs. We first present a new method to help simulate the temperature (both steady and transient) using traffics value from realistic and synthetic benchmarks and the power consumption from standard VLSI design flow. Then, based on the proposed method, we further predict the relative reliability between different parts of the network. Experimental results show that the method has an extremely fast execution time in comparison to the acceleration lifetime test. Furthermore, we compare the thermal behavior and reliability between Monolithic design and TSV-based TSV. We also explorer the ability to implement the thermal via a mechanism to help reduce the operating temperature.

READ FULL TEXT

page 6

page 11

research
12/12/2016

An Artificial Neural Networks based Temperature Prediction Framework for Network-on-Chip based Multicore Platform

Continuous improvement in silicon process technologies has made possible...
research
05/20/2017

The Effect of Temperature on Amdahl Law in 3D Multicore Era

This work studies the influence of temperature on performance and scalab...
research
12/28/2022

Thermal Heating in ReRAM Crossbar Arrays: Challenges and Solutions

The higher speed, scalability and parallelism offered by ReRAM crossbar ...
research
03/21/2020

Reliability Assessment and Quantitative Evaluation of Soft-Error Resilient 3D Network-on-Chip Systems

Three-Dimensional Networks-on-Chips (3D-NoCs) have been proposed as an a...
research
07/22/2023

VarSim: A Fast Process Variation-aware Thermal Modeling Methodology Using Green's Functions

Despite temperature rise being a first-order design constraint, traditio...
research
04/05/2022

Brain-Inspired Hyperdimensional Computing: How Thermal-Friendly for Edge Computing?

Brain-inspired hyperdimensional computing (HDC) is an emerging machine l...
research
04/10/2019

Trick or Heat? Attack on Amplification Circuits to Abuse Critical Temperature Control Systems

Temperature sensors are extensively used in real-time monitoring and con...

Please sign up or login with your details

Forgot password? Click here to reset