REED: Chiplet-Based Scalable Hardware Accelerator for Fully Homomorphic Encryption

08/05/2023
by   Aikata Aikata, et al.
0

Fully Homomorphic Encryption (FHE) has emerged as a promising technology for processing encrypted data without the need for decryption. Despite its potential, its practical implementation has faced challenges due to substantial computational overhead. To address this issue, we propose the first chiplet-based FHE accelerator design `REED', which enables scalability and offers high throughput, thereby enhancing homomorphic encryption deployment in real-world scenarios. It incorporates well-known wafer yield issues during fabrication which significantly impacts production costs. In contrast to state-of-the-art approaches, we also address data exchange overhead by proposing a non-blocking inter-chiplet communication strategy. We incorporate novel pipelined Number Theoretic Transform and automorphism techniques, leveraging parallelism and providing high throughput. Experimental results demonstrate that REED 2.5D integrated circuit consumes 177 mm^2 chip area, 82.5 W average power in 7nm technology, and achieves an impressive speedup of up to 5,982× compared to a CPU (24-core 2×Intel X5690), and 2× better energy efficiency and 50% lower development cost than state-of-the-art ASIC accelerator. To evaluate its practical impact, we are the first to benchmark an encrypted deep neural network training. Overall, this work successfully enhances the practicality and deployability of fully homomorphic encryption in real-world scenarios.

READ FULL TEXT

page 1

page 4

page 9

research
12/31/2021

BTS: An Accelerator for Bootstrappable Fully Homomorphic Encryption

Homomorphic encryption (HE) enables the secure offloading of computation...
research
08/09/2023

CiFHER: A Chiplet-Based FHE Accelerator with a Resizable Structure

Fully homomorphic encryption (FHE) is in the spotlight as a definitive s...
research
02/23/2022

Alleviating Datapath Conflicts and Design Centralization in Graph Analytics Acceleration

Previous graph analytics accelerators have achieved great improvement on...
research
04/19/2022

CoFHEE: A Co-processor for Fully Homomorphic Encryption Execution

The migration of computation to the cloud has raised privacy concerns as...
research
11/10/2022

PhotoFourier: A Photonic Joint Transform Correlator-Based Neural Network Accelerator

The last few years have seen a lot of work to address the challenge of l...
research
12/04/2021

IMCRYPTO: An In-Memory Computing Fabric for AES Encryption and Decryption

This paper proposes IMCRYPTO, an in-memory computing (IMC) fabric for ac...

Please sign up or login with your details

Forgot password? Click here to reset