RAD-Sim: Rapid Architecture Exploration for Novel Reconfigurable Acceleration Devices

01/12/2023
by   Andrew Boutros, et al.
0

With the continued growth in field-programmable gate array (FPGA) capacity and their incorporation into new environments such as datacenters, we have witnessed the introduction of a new class of reconfigurable acceleration devices (RADs) that go beyond conventional FPGA architectures. These devices combine a reconfigurable fabric with coarse-grained domain-specialized accelerator blocks all connected via a high-performance packet-switched network-on-chip (NoC) for efficient system-wide communication. However, we lack the tools necessary to efficiently explore the huge design space for RADs, study the complex interactions between their different components and evaluate various combinations of design choices. In this work, we develop RAD-Sim, a cycle-level architecture simulator that allows rapid application-driven exploration of the design space of novel RADs. To showcase the capabilities of RADSim, we map and simulate a state-of-the-art deep learning (DL) inference overlay on a RAD instance incorporating an FPGA fabric and a complex of hard matrix-vector multiplication engines, communicating over a system-wide NoC. Through this example, we show how RAD-Sim can help architects quantify the effect of changing specific architecture parameters on end-to-end application performance.

READ FULL TEXT

page 1

page 3

page 5

research
01/05/2021

Hardware Acceleration of HPC Computational Flow Dynamics using HBM-enabled FPGAs

Scientific computing is at the core of many High-Performance Computing a...
research
12/13/2017

Reconfigurable Hardware Accelerators: Opportunities, Trends, and Challenges

With the emerging big data applications of Machine Learning, Speech Reco...
research
08/28/2015

Virtualization Architecture for NoC-based Reconfigurable Systems

We propose a virtualization architecture for NoC-based reconfigurable sy...
research
04/29/2021

Automated Design Space Exploration of CGRA Processing Element Architectures using Frequent Subgraph Analysis

The architecture of a coarse-grained reconfigurable array (CGRA) process...
research
05/15/2023

Kugelblitz: Streamlining Reconfigurable Packet Processing Pipeline Design and Evaluation

Reconfigurable packet processing pipelines have emerged as a common buil...
research
07/24/2021

An FPGA cached sparse matrix vector product (SpMV) for unstructured computational fluid dynamics simulations

Field Programmable Gate Arrays generate algorithmic specific architectur...
research
06/08/2022

Low-power option Greeks: Efficiency-driven market risk analysis using FPGAs

Quantitative finance is the use of mathematical models to analyse financ...

Please sign up or login with your details

Forgot password? Click here to reset