PROBE3.0: A Systematic Framework for Design-Technology Pathfinding with Improved Design Enablement

04/26/2023
by   Suhyeong Choi, et al.
0

We propose a systematic framework to conduct design-technology pathfinding for PPAC in advanced nodes. Our goal is to provide configurable, scalable generation of process design kit (PDK) and standard-cell library, spanning key scaling boosters (backside PDN and buried power rail), to explore PPAC across given technology and design parameters. We build on PROBE2.0, which addressed only area and cost (AC), to include power and performance (PP) evaluations through automated generation of full design enablements. We also improve the use of artificial designs in the PPAC assessment of technology and design configurations. We generate more realistic artificial designs by applying a machine learning-based parameter tuning flow. We further employ clustering-based cell width-regularized placements at the core of routability assessment, enabling more realistic placement utilization and improved experimental efficiency. We demonstrate PPAC evaluation across scaling boosters and artificial designs in a predictive technology node.

READ FULL TEXT

page 1

page 2

page 4

page 5

research
07/30/2018

Standard Cell Library Design and Optimization Methodology for ASAP7 PDK

Standard cell libraries are the foundation for the entire backend design...
research
07/12/2020

On Improving Hotspot Detection Through Synthetic Pattern-Based Database Enhancement

Continuous technology scaling and the introduction of advanced technolog...
research
07/25/2022

AutoCellLibX: Automated Standard Cell Library Extension Based on Pattern Mining

Custom standard cell libraries can improve the final quality of the corr...
research
12/12/2019

CAD Tool Design Space Exploration via Bayesian Optimization

The design complexity is increasing as the technology node keeps scaling...
research
10/15/2021

Design Technology Co-Optimization for Neuromorphic Computing

We present a design-technology tradeoff analysis in implementing machine...
research
05/21/2021

Multi-objective Digital Design Optimisation via Improved Drive Granularity Standard Cells

To tackle the complexity of state-of-the-art electronic systems, silicon...
research
05/25/2018

Advanced In-Design Auto-Fixing Flow for Cell Abutment Pattern Matching Weakpoints

Pattern matching design verification has gained noticeable attention in ...

Please sign up or login with your details

Forgot password? Click here to reset