On Memory Codelets: Prefetching, Recoding, Moving and Streaming Data

01/31/2023
by   Dawson Fox, et al.
0

For decades, memory capabilities have scaled up much slower than compute capabilities, leaving memory utilization as a major bottleneck. Prefetching and cache hierarchies mitigate this in applications with easily predictable memory accesses or those with high locality. In other applications like sparse linear algebra or graph-based applications, these strategies do not achieve effective utilization of memory. This is the case for the von Neumann model of computation, but other program execution models (PXM) provide different opportunities. Furthermore, the problem is complicated by increasing levels of heterogeneity and devices' varying memory subsystems. The Codelet PXM presented in this paper provides a program structure that allows for well-defined prefetching, streaming, and recoding operations to improve memory utilization and efficiently coordinate data movement with respect to computation. We propose the Memory Codelet, an extension to the original Codelet Model, to provide users these functionalities in a well-defined manner within the Codelet PXM.

READ FULL TEXT

page 1

page 2

page 3

page 4

research
07/26/2022

Dalorex: A Data-Local Program Execution and Architecture for Memory-bound Applications

Applications with low data reuse and frequent irregular memory accesses,...
research
09/10/2019

Selfie: User-defined Sensitive Memory Protection and Recovery

Different users always have different requirement for sensitive memory d...
research
10/27/2017

External Memory Pipelining Made Easy With TPIE

When handling large datasets that exceed the capacity of the main memory...
research
10/08/2019

Performance Impact of Memory Channels on Sparse and Irregular Algorithms

Graph processing is typically considered to be a memory-bound rather tha...
research
03/21/2023

Simulation Environment with Customized RISC-V Instructions for Logic-in-Memory Architectures

Nowadays, various memory-hungry applications like machine learning algor...
research
01/17/2022

Efficient Data-Plane Memory Scheduling for In-Network Aggregation

As the scale of distributed training grows, communication becomes a bott...
research
08/23/2021

StreaMRAK a Streaming Multi-Resolution Adaptive Kernel Algorithm

Kernel ridge regression (KRR) is a popular scheme for non-linear non-par...

Please sign up or login with your details

Forgot password? Click here to reset