On Joint Learning for Solving Placement and Routing in Chip Design

10/30/2021
by   Ruoyu Cheng, et al.
0

For its advantage in GPU acceleration and less dependency on human experts, machine learning has been an emerging tool for solving the placement and routing problems, as two critical steps in modern chip design flow. Being still in its early stage, there are fundamental issues: scalability, reward design, and end-to-end learning paradigm etc. To achieve end-to-end placement learning, we first propose a joint learning method termed by DeepPlace for the placement of macros and standard cells, by the integration of reinforcement learning with a gradient based optimization scheme. To further bridge the placement with the subsequent routing task, we also develop a joint learning approach via reinforcement learning to fulfill both macro placement and routing, which is called DeepPR. One key design in our (reinforcement) learning paradigm involves a multi-view embedding model to encode both global graph level and local node level information of the input macros. Moreover, the random network distillation is devised to encourage exploration. Experiments on public chip design benchmarks show that our method can effectively learn from experience and also provides intermediate placement for the post standard cell placement, within few hours for training.

READ FULL TEXT
research
02/28/2022

Towards Machine Learning for Placement and Routing in Chip Design: a Methodological Overview

Placement and routing are two indispensable and challenging (NP-hard) ta...
research
04/22/2020

Chip Placement with Deep Reinforcement Learning

In this work, we present a learning-based approach to chip placement, on...
research
11/24/2022

MaskPlace: Fast Chip Placement via Reinforced Visual Representation Learning

Placement is an essential task in modern chip design, aiming at placing ...
research
02/21/2023

Assessment of Reinforcement Learning for Macro Placement

We provide open, transparent implementation and assessment of Google Bra...
research
05/19/2022

Routing and Placement of Macros using Deep Reinforcement Learning

Chip placement has been one of the most time consuming task in any semi ...
research
09/06/2021

Guiding Global Placement With Reinforcement Learning

Recent advances in GPU accelerated global and detail placement have redu...
research
06/29/2023

Macro Placement by Wire-Mask-Guided Black-Box Optimization

The development of very large-scale integration (VLSI) technology has po...

Please sign up or login with your details

Forgot password? Click here to reset