Not All Fabrics Are Created Equal: Exploring eFPGA Parameters For IP Redaction

11/08/2021
by   Jitendra Bhandari, et al.
0

Semiconductor design houses rely on third-party foundries to manufacture their integrated circuits (IC). While this trend allows them to tackle fabrication costs, it introduces security concerns as external (and potentially malicious) parties can access critical parts of the designs and steal or modify the Intellectual Property (IP). Embedded FPGA (eFPGA) redaction is a promising technique to protect critical IPs of an ASIC by redacting (i.e., removing) critical parts and mapping them onto a custom reconfigurable fabric. Only trusted parties will receive the correct bitstream to restore the redacted functionality. While previous studies imply that using an eFPGA is a sufficient condition to provide security against IP threats like reverse-engineering, whether this truly holds for all eFPGA architectures is unclear, thus motivating the study in this paper. We examine the security of eFPGA fabrics generated by varying different FPGA design parameters. We characterize the power, performance, and area (PPA) characteristics and evaluate each fabric's resistance to SAT-based bitstream recovery. Our results encourage designers to work with custom eFPGA fabrics rather than off-the-shelf commercial FPGAs and reveals that only considering a redaction fabric's bitstream size is inadequate for gauging security.

READ FULL TEXT
research
01/21/2021

An Efficient Communication Protocol for FPGA IP Protection

We introduce a protection-based IP security scheme to protect soft and f...
research
10/26/2021

Exploring eFPGA-based Redaction for IP Protection

Recently, eFPGA-based redaction has been proposed as a promising solutio...
research
10/11/2021

From FPGAs to Obfuscated eASICs: Design and Security Trade-offs

Threats associated with the untrusted fabrication of integrated circuits...
research
11/14/2018

Opening the Doors to Dynamic Camouflaging: Harnessing the Power of Polymorphic Devices

Hardware-centric security threats have emerged in every stage of the IC ...
research
07/12/2022

A Security-aware and LUT-based CAD Flow for the Physical Synthesis of eASICs

Numerous threats are associated with the globalized integrated circuit (...
research
08/07/2023

FPGA Processor In Memory Architectures (PIMs): Overlay or Overhaul ?

The dominance of machine learning and the ending of Moore's law have ren...
research
05/19/2022

Obfuscating the Hierarchy of a Digital IP

Numerous security threats are emerging from untrusted players in the int...

Please sign up or login with your details

Forgot password? Click here to reset