Multi-Electrostatic FPGA Placement Considering SLICEL-SLICEM Heterogeneity, Clock Feasibility, and Timing Optimization

03/16/2023
by   Jing Mai, et al.
0

When modern FPGA architecture becomes increasingly complicated, modern FPGA placement is a mixed optimization problem with multiple objectives, including wirelength, routability, timing closure, and clock feasibility. Typical FPGA devices nowadays consist of heterogeneous SLICEs like SLICEL and SLICEM. The resources of a SLICE can be configured to LUT, FF, distributed RAM, SHIFT, CARRY. Besides such heterogeneity, advanced FPGA architectures also bring complicated constraints like timing, clock routing, carry chain alignment, etc. The above heterogeneity and constraints impose increasing challenges to FPGA placement algorithms. In this work, we propose a multi-electrostatic FPGA placer considering the aforementioned SLICEL-SLICEM heterogeneity under timing, clock routing and carry chain alignment constraints. We first propose an effective SLICEL-SLICEM heterogeneity model with a novel electrostatic-based density formulation. We also design a dynamically adjusted preconditioning and carry chain alignment technique to stabilize the optimization convergence. We then propose a timing-driven net weighting scheme to incorporate timing optimization. Finally, we put forward a nested Lagrangian relaxation-based placement framework to incorporate the optimization objectives of wirelength, routability, timing, and clock feasibility. Experimental results on both academic and industrial benchmarks demonstrate that our placer outperforms the state-of-the-art placers in quality and efficiency.

READ FULL TEXT

page 1

page 2

page 4

page 5

research
10/17/2022

AMF-Placer 2.0: Open Source Timing-driven Analytical Mixed-size Placer for Large-scale Heterogeneous FPGA

On modern field-programmable gate arrays (FPGAs), certain critical path ...
research
08/07/2023

LEAPS: Topological-Layout-Adaptable Multi-die FPGA Placement for Super Long Line Minimization

Multi-die FPGAs are crucial components in modern computing systems, part...
research
06/29/2023

OpenPARF: An Open-Source Placement and Routing Framework for Large-Scale Heterogeneous FPGAs with Deep Learning Toolkit

This paper proposes OpenPARF, an open-source placement and routing frame...
research
02/17/2020

RapidLayout: Fast Hard Block Placement of FPGA-optimized Systolic Arrays using Evolutionary Algorithms

Evolutionary algorithms can outperform conventional placement algorithms...
research
03/23/2020

A distributed memory, local configuration technique for re-configurable logic designs

The use and location of memory in integrated circuits plays a key factor...
research
06/04/2018

Nanoseconds Timing System Based on IEEE 1588 FPGA Implementation

Clock synchronization procedures are mandatory in most physical experime...
research
10/28/2017

Customized Routing Optimization Based on Gradient Boost Regressor Model

In this paper, we discussed limitation of current electronic-design-auto...

Please sign up or login with your details

Forgot password? Click here to reset