MIMHD: Accurate and Efficient Hyperdimensional Inference Using Multi-Bit In-Memory Computing

06/22/2021
by   Arman Kazemi, et al.
0

Hyperdimensional Computing (HDC) is an emerging computational framework that mimics important brain functions by operating over high-dimensional vectors, called hypervectors (HVs). In-memory computing implementations of HDC are desirable since they can significantly reduce data transfer overheads. All existing in-memory HDC platforms consider binary HVs where each dimension is represented with a single bit. However, utilizing multi-bit HVs allows HDC to achieve acceptable accuracies in lower dimensions which in turn leads to higher energy efficiencies. Thus, we propose a highly accurate and efficient multi-bit in-memory HDC inference platform called MIMHD. MIMHD supports multi-bit operations using ferroelectric field-effect transistor (FeFET) crossbar arrays for multiply-and-add and FeFET multi-bit content-addressable memories for associative search. We also introduce a novel hardware-aware retraining framework (HWART) that trains the HDC model to learn to work with MIMHD. For six popular datasets and 4000 dimension HVs, MIMHD using 3-bit (2-bit) precision HVs achieves (i) average accuracies of 92.6 (4.8 improvement over a GPU, and (iii) 38.4x (34.3x) speedup over a GPU, respectively. The 3-bit × is 4.3x and 13x faster and more energy-efficient than binary HDC accelerators while achieving similar accuracies.

READ FULL TEXT
research
11/13/2020

In-Memory Nearest Neighbor Search with FeFET Multi-Bit Content-Addressable Memories

Nearest neighbor (NN) search is an essential operation in many applicati...
research
06/24/2020

Bit Error Robustness for Energy-Efficient DNN Accelerators

Deep neural network (DNN) accelerators received considerable attention i...
research
04/16/2021

Random and Adversarial Bit Error Robustness: Energy-Efficient and Secure DNN Accelerators

Deep neural network (DNN) accelerators received considerable attention i...
research
01/26/2023

Efficient Hyperdimensional Computing

Hyperdimensional computing (HDC) uses binary vectors of high dimensions ...
research
05/22/2022

Wireless On-Chip Communications for Scalable In-memory Hyperdimensional Computing

Hyperdimensional computing (HDC) is an emerging computing paradigm that ...
research
03/08/2021

Hypervector Design for Efficient Hyperdimensional Computing on Edge Devices

Hyperdimensional computing (HDC) has emerged as a new light-weight learn...
research
11/15/2022

Massively Parallel Open Modification Spectral Library Searching with Hyperdimensional Computing

Mass spectrometry, commonly used for protein identification, generates a...

Please sign up or login with your details

Forgot password? Click here to reset