MgX: Near-Zero Overhead Memory Protection with an Application to Secure DNN Acceleration

04/20/2020
by   Weizhe Hua, et al.
0

In this paper, we propose MgX, a near-zero overhead memory protection scheme for hardware accelerators. MgX minimizes the performance overhead of off-chip memory encryption and integrity verification by exploiting the application-specific aspect of accelerators. Accelerators tend to explicitly manage data movement between on-chip and off-chip memory, typically at an object granularity that is much larger than cache lines. Exploiting these accelerator-specific characteristics, MgX generates version numbers used in memory encryption and integrity verification only using on-chip state without storing them in memory, and also customizes the granularity of the memory protection to match the granularity used by the accelerator. To demonstrate the applicability of MgX, we present an in-depth study of MgX for deep neural network (DNN) and also describe implementations for H.264 video decoding and genome alignment. Experimental results show that applying MgX has less than 1 performance overhead for both DNN inference and training on state-of-the-art DNN architectures.

READ FULL TEXT

page 2

page 4

page 5

page 6

page 7

page 8

page 12

page 13

research
08/26/2020

GuardNN: Secure DNN Accelerator for Privacy-Preserving Deep Learning

This paper proposes GuardNN, a secure deep neural network (DNN) accelera...
research
02/26/2020

DNN-Chip Predictor: An Analytical Performance Predictor for DNN Accelerators with Various Dataflows and Hardware Architectures

The recent breakthroughs in deep neural networks (DNNs) have spurred a t...
research
03/29/2022

Temperature-Aware Monolithic 3D DNN Accelerators for Biomedical Applications

In this paper, we focus on temperature-aware Monolithic 3D (Mono3D) deep...
research
07/04/2022

Sealer: In-SRAM AES for High-Performance and Low-Overhead Memory Encryption

To provide data and code confidentiality and reduce the risk of informat...
research
05/04/2023

A Quantitative Analysis and Guideline of Data Streaming Accelerator in Intel 4th Gen Xeon Scalable Processors

As semiconductor power density is no longer constant with the technology...
research
02/18/2020

MARVEL: A Decoupled Model-driven Approach for Efficiently Mapping Convolutions on Spatial DNN Accelerators

The efficiency of a spatial DNN accelerator depends heavily on the compi...
research
04/06/2023

ImaGen: A General Framework for Generating Memory- and Power-Efficient Image Processing Accelerators

Image processing algorithms are prime targets for hardware acceleration ...

Please sign up or login with your details

Forgot password? Click here to reset