Memory and Parallelism Analysis Using a Platform-Independent Approach

04/18/2019
by   Stefano Corda, et al.
0

Emerging computing architectures such as near-memory computing (NMC) promise improved performance for applications by reducing the data movement between CPU and memory. However, detecting such applications is not a trivial task. In this ongoing work, we extend the state-of-the-art platform-independent software analysis tool with NMC related metrics such as memory entropy, spatial locality, data-level, and basic-block-level parallelism. These metrics help to identify the applications more suitable for NMC architectures.

READ FULL TEXT

page 1

page 2

page 3

page 4

research
06/24/2019

Platform Independent Software Analysis for Near Memory Computing

Near-memory Computing (NMC) promises improved performance for the applic...
research
08/07/2019

Near-Memory Computing: Past, Present, and Future

The conventional approach of moving data to the CPU for computation has ...
research
03/12/2020

Characterizing Optimizations to Memory Access Patterns using Architecture-Independent Program Features

High-performance computing developers are faced with the challenge of op...
research
07/26/2019

A Workload and Programming Ease Driven Perspective of Processing-in-Memory

Many modern and emerging applications must process increasingly large vo...
research
03/15/2023

Gamify Stencil Dwarf on Cloud for Democratizing Scientific Computing

Stencil computation is one of the most important kernels in various scie...
research
11/25/2020

Rapid Exploration of Optimization Strategies on Advanced Architectures using TestSNAP and LAMMPS

The exascale race is at an end with the announcement of the Aurora and F...
research
11/25/2021

STRETCH: Virtual Shared-Nothing Parallelism for Scalable and Elastic Stream Processing

Stream processing applications extract value from raw data through Direc...

Please sign up or login with your details

Forgot password? Click here to reset