Making Belady-Inspired Replacement Policies More Effective Using Expected Hit Count

Memory-intensive workloads operate on massive amounts of data that cannot be captured by last-level caches (LLCs) of modern processors. Consequently, processors encounter frequent off-chip misses, and hence, lose a significant performance potential. One way to reduce the number of off-chip misses is through using a well-behaved replacement policy in the LLC. Existing processors employ a variation of least recently used (LRU) policy to determine a victim for replacement. Unfortunately, there is a large gap between what LRU offers and that of Belady's MIN, which is the optimal replacement policy. Belady's MIN requires selecting a victim with the longest reuse distance, and hence, is unfeasible due to the need to know the future. Consequently, Belady-inspired replacement polices use Belady's MIN to derive an indicator to help them choose a victim for replacement. In this work, we show that the indicator that is used in the state-of-the-art Belady-inspired replacement policy is not decisive in picking a victim in a considerable number of cases, and hence, the policy has to rely on a standard metric (e.g., recency or frequency) to pick a victim, which is inefficient. We observe that there exist strong correlations among the hit counts of cache blocks in the same region of memory when Belady's MIN is the replacement policy. Taking advantage of this observation, we propose an expected-hit-count indicator for the memory regions and use it to improve the victim selection mechanism of Belady-inspired replacement policies when the main indicator is not decisive. Our proposal offers a 5.2% performance improvement over the baseline LRU and outperforms Hawkeye, which is the state-of-the-art replacement policy.

READ FULL TEXT

page 4

page 8

research
07/04/2019

To Update or Not To Update?: Bandwidth-Efficient Intelligent Replacement Policies for DRAM Caches

This paper investigates intelligent replacement policies for improving t...
research
01/17/2022

Reuse-Aware Cache Partitioning Framework for Data-Sharing Multicore Systems

Multi-core processors improve performance, but they can create unpredict...
research
02/04/2014

LWRP: Low Power Consumption Weighting Replacement Policy using Buffer Memory

As the performance gap between memory and processors has increased, then...
research
04/12/2019

RELOAD+REFRESH: Abusing Cache Replacement Policies to Perform Stealthy Cache Attacks

Caches have become the prime method for unintended information extractio...
research
12/02/2015

TinyLFU: A Highly Efficient Cache Admission Policy

This paper proposes to use a frequency based cache admission policy in o...
research
07/31/2020

Learning Forward Reuse Distance

Caching techniques are widely used in the era of cloud computing from ap...
research
01/31/2022

The complexity gap in the static analysis of cache accesses grows if procedure calls are added

The static analysis of cache accesses consists in correctly predicting w...

Please sign up or login with your details

Forgot password? Click here to reset