Mage: Online Interference-Aware Scheduling in Multi-Scale Heterogeneous Systems

04/17/2018
by   Francisco Romero, et al.
0

Heterogeneity has grown in popularity both at the core and server level as a way to improve both performance and energy efficiency. However, despite these benefits, scheduling applications in heterogeneous machines remains challenging. Additionally, when these heterogeneous resources accommodate multiple applications to increase utilization, resources are prone to contention, destructive interference, and unpredictable performance. Existing solutions examine heterogeneity either across or within a server, leading to missed performance and efficiency opportunities. We present Mage, a practical interference-aware runtime that optimizes performance and efficiency in systems with intra- and inter-server heterogeneity. Mage leverages fast and online data mining to quickly explore the space of application placements, and determine the one that minimizes destructive interference between co-resident applications. Mage continuously monitors the performance of active applications, and, upon detecting QoS violations, it determines whether alternative placements would prove more beneficial, taking into account any overheads from migration. Across 350 application mixes on a heterogeneous CMP, Mage improves performance by 38 Across 160 mixes on a heterogeneous cluster, Mage improves performance by 30 on average and up to 52 combination of Paragon [15] for inter- and intra-server heterogeneity.

READ FULL TEXT

page 1

page 2

page 3

page 4

research
05/02/2019

An Adaptive Performance-oriented Scheduler for Static and Dynamic Heterogeneity

With the emergence of heterogeneous hardware paving the way for the post...
research
06/26/2023

Heterogeneous ALU Architecture – Power Aware System

The advent of heterogeneous multi-core architectures brought with it hug...
research
07/21/2017

Mastering Heterogeneous Behavioural Models

Heterogeneity is one important feature of complex systems, leading to th...
research
08/20/2020

Heterogeneity-Aware Cluster Scheduling Policies for Deep Learning Workloads

Specialized accelerators such as GPUs, TPUs, FPGAs, and custom ASICs hav...
research
02/23/2023

Hera: A Heterogeneity-Aware Multi-Tenant Inference Server for Personalized Recommendations

While providing low latency is a fundamental requirement in deploying re...
research
03/14/2022

Hercules: Heterogeneity-Aware Inference Serving for At-Scale Personalized Recommendation

Personalized recommendation is an important class of deep-learning appli...
research
09/29/2022

Real-Time Scheduling of Machine Learning Operations on Heterogeneous Neuromorphic SoC

Neuromorphic Systems-on-Chip (NSoCs) are becoming heterogeneous by integ...

Please sign up or login with your details

Forgot password? Click here to reset