Logically Synthesized, Hardware-Accelerated, Restricted Boltzmann Machines for Combinatorial Optimization and Integer Factorization

06/16/2020
by   Saavan Patel, et al.
0

The Restricted Boltzmann Machine (RBM) is a stochastic neural network capable of solving a variety of difficult tasks such as NP-Hard combinatorial optimization problems and integer factorization. The RBM architecture is also very compact; requiring very few weights and biases. This, along with its simple, parallelizable sampling algorithm for finding the ground state of such problems, makes the RBM amenable to hardware acceleration. However, training of the RBM on these problems can pose a significant challenge, as the training algorithm tends to fail for large problem sizes and efficient mappings can be hard to find. Here, we propose a method of combining RBMs together that avoids the need to train large problems in their full form. We also propose methods for making the RBM more hardware amenable, allowing the algorithm to be efficiently mapped to an FPGA-based accelerator. Using this accelerator, we are able to show hardware accelerated factorization of 16 bit numbers with high accuracy with a speed improvement of 10000x and a power improvement of 32x.

READ FULL TEXT
research
08/10/2020

Ising Model Optimization Problems on a FPGA Accelerated Restricted Boltzmann Machine

Optimization problems, particularly NP-Hard Combinatorial Optimization p...
research
09/09/2019

Combining Learned Representations for Combinatorial Optimization

We propose a new approach to combine Restricted Boltzmann Machines (RBMs...
research
09/22/2015

Deep Boltzmann Machines in Estimation of Distribution Algorithms for Combinatorial Optimization

Estimation of Distribution Algorithms (EDAs) require flexible probabilit...
research
10/17/2020

Binary Matrix Factorization on Special Purpose Hardware

Many fundamental problems in data mining can be reduced to one or more N...
research
05/26/2023

Let the Flows Tell: Solving Graph Combinatorial Optimization Problems with GFlowNets

Combinatorial optimization (CO) problems are often NP-hard and thus out ...
research
12/21/2021

Noise-injected analog Ising machines enable ultrafast statistical sampling and machine learning

Ising machines are a promising non-von-Neumann computational concept for...
research
03/02/2022

Integer Factorization with Compositional Distributed Representations

In this paper, we present an approach to integer factorization using dis...

Please sign up or login with your details

Forgot password? Click here to reset