Leaky Buddies: Cross-Component Covert Channels on Integrated CPU-GPU Systems

11/19/2020
by   Sankha Baran Dutta, et al.
0

Graphics Processing Units (GPUs) are a ubiquitous component across the range of today's computing platforms, from phones and tablets, through personal computers, to high-end server class platforms. With the increasing importance of graphics and video workloads, recent processors are shipped with GPU devices that are integrated on the same chip. Integrated GPUs share some resources with the CPU and as a result, there is a potential for microarchitectural attacks from the GPU to the CPU or vice versa. We believe this type of attack, crossing the component boundary (GPU to CPU or vice versa) is novel, introducing unique challenges, but also providing the attacker with new capabilities that must be considered when we design defenses against microarchitectrual attacks in these environments. Specifically, we consider the potential for covert channel attacks that arise either from shared microarchitectural components (such as caches) or through shared contention domains (e.g., shared buses). We illustrate these two types of channels by developing two reliable covert channel attacks. The first covert channel uses the shared LLC cache in Intel's integrated GPU architectures. The second is a contention based channel targeting the ring bus connecting the CPU and GPU to the LLC. Cross component channels introduce a number of new challenges that we had to overcome since they occur across heterogeneous components that use different computation models and are interconnected using asymmetric memory hierarchies. We also exploit GPU parallelism to increase the bandwidth of the communication, even without relying on a common clock. The LLC based channel achieves a bandwidth of 120 kbps with a low error rate of 2 delivers up to 400 kbps with a 0.8

READ FULL TEXT

page 1

page 3

page 4

page 8

page 9

research
07/30/2023

Exploiting Parallel Memory Write Requests for Covert Channel Attacks in Integrated CPU-GPU Systems

In heterogeneous SoCs, accelerators like integrated GPUs (iGPUs) are int...
research
03/05/2021

Lord of the Ring(s): Side Channel Attacks on the CPU On-Chip Ring Interconnect Are Practical

We introduce the first microarchitectural side channel attacks that leve...
research
03/30/2022

Spy in the GPU-box: Covert and Side Channel Attacks on Multi-GPU Systems

The deep learning revolution has been enabled in large part by GPUs, and...
research
12/11/2020

Trash Talk: Accelerating Garbage Collection on Integrated GPUs is Worthless

Systems integrating heterogeneous processors with unified memory provide...
research
01/19/2019

A Two-Layer Component-Based Allocation for Embedded Systems with GPUs

Component-based development is a software engineering paradigm that can ...
research
02/23/2022

IOTLB-SC: An Accelerator-Independent Leakage Source in Modern Cloud Systems

Recent research in micro-architectural attacks has uncovered a variety o...
research
02/19/2018

PRUNE: Dynamic and Decidable Dataflow for Signal Processing on Heterogeneous Platforms

The majority of contemporary mobile devices and personal computers are b...

Please sign up or login with your details

Forgot password? Click here to reset