Is MC Dropout Bayesian?

10/08/2021
by   Loic Le Folgoc, et al.
8

MC Dropout is a mainstream "free lunch" method in medical imaging for approximate Bayesian computations (ABC). Its appeal is to solve out-of-the-box the daunting task of ABC and uncertainty quantification in Neural Networks (NNs); to fall within the variational inference (VI) framework; and to propose a highly multimodal, faithful predictive posterior. We question the properties of MC Dropout for approximate inference, as in fact MC Dropout changes the Bayesian model; its predictive posterior assigns 0 probability to the true model on closed-form benchmarks; the multimodality of its predictive posterior is not a property of the true predictive posterior but a design artefact. To address the need for VI on arbitrary models, we share a generic VI engine within the pytorch framework. The code includes a carefully designed implementation of structured (diagonal plus low-rank) multivariate normal variational families, and mixtures thereof. It is intended as a go-to no-free-lunch approach, addressing shortcomings of mean-field VI with an adjustable trade-off between expressivity and computational complexity.

READ FULL TEXT

page 1

page 2

page 3

page 4

research
02/16/2021

Improving Bayesian Inference in Deep Neural Networks with Variational Structured Dropout

Approximate inference in deep Bayesian networks exhibits a dilemma of ho...
research
12/24/2020

On Batch Normalisation for Approximate Bayesian Inference

We study batch normalisation in the context of variational inference met...
research
11/03/2017

Implicit Weight Uncertainty in Neural Networks

We interpret HyperNetworks within the framework of variational inference...
research
07/05/2018

Variational Bayesian dropout: pitfalls and fixes

Dropout, a stochastic regularisation technique for training of neural ne...
research
10/24/2022

GFlowOut: Dropout with Generative Flow Networks

Bayesian Inference offers principled tools to tackle many critical probl...
research
11/13/2021

MC-CIM: Compute-in-Memory with Monte-Carlo Dropouts for Bayesian Edge Intelligence

We propose MC-CIM, a compute-in-memory (CIM) framework for robust, yet l...
research
06/16/2023

Spatial-SpinDrop: Spatial Dropout-based Binary Bayesian Neural Network with Spintronics Implementation

Recently, machine learning systems have gained prominence in real-time, ...

Please sign up or login with your details

Forgot password? Click here to reset