HoLiSwap: Reducing Wire Energy in L1 Caches

01/14/2017
by   Yatish Turakhia, et al.
0

This paper describes HoLiSwap a method to reduce L1 cache wire energy, a significant fraction of total cache energy, by swapping hot lines to the cache way nearest to the processor. We observe that (i) a small fraction (<3 cache lines (hot lines) serve over 60 difference in wire energy between the nearest and farthest cache subarray can be over 6×. Our method exploits this difference in wire energy to dynamically identify hot lines and swap them to the nearest physical way in a set-associative L1 cache. This provides up to 44 energy (1.82 rate and 0.13 way-prediction.

READ FULL TEXT

page 1

page 2

page 3

page 4

research
04/19/2019

Energy-Efficient Runtime Adaptable L1 STT-RAM Cache Design

Much research has shown that applications have variable runtime cache re...
research
04/17/2017

A Study on Performance and Power Efficiency of Dense Non-Volatile Caches in Multi-Core Systems

In this paper, we present a novel cache design based on Multi-Level Cell...
research
09/24/2020

A Study of Runtime Adaptive Prefetching for STTRAM L1 Caches

Spin-Transfer Torque RAM (STTRAM) is a promising alternative to SRAM in ...
research
04/06/2023

GI Software with fewer Data Cache Misses

By their very name caches are often overlooked and yet play a vital role...
research
01/23/2020

A Closer Look at Lightweight Graph Reordering

Graph analytics power a range of applications in areas as diverse as fin...
research
03/22/2010

Proficient Pair of Replacement Algorithms on L1 and L2 Cache for Merge Sort

Memory hierarchy is used to compete the processors speed. Cache memory i...
research
01/22/2020

Domain-Specialized Cache Management for Graph Analytics

Graph analytics power a range of applications in areas as diverse as fin...

Please sign up or login with your details

Forgot password? Click here to reset