HL-Pow: A Learning-Based Power Modeling Framework for High-Level Synthesis

09/02/2020
by   Zhe Lin, et al.
0

High-level synthesis (HLS) enables designers to customize hardware designs efficiently. However, it is still challenging to foresee the correlation between power consumption and HLS-based applications at an early design stage. To overcome this problem, we introduce HL-Pow, a power modeling framework for FPGA HLS based on state-of-the-art machine learning techniques. HL-Pow incorporates an automated feature construction flow to efficiently identify and extract features that exert a major influence on power consumption, simply based upon HLS results, and a modeling flow that can build an accurate and generic power model applicable to a variety of designs with HLS. By using HL-Pow, the power evaluation process for FPGA designs can be significantly expedited because the power inference of HL-Pow is established on HLS instead of the time-consuming register-transfer level (RTL) implementation flow. Experimental results demonstrate that HL-Pow can achieve accurate power modeling that is only 4.67 further facilitate power-oriented optimizations, we describe a novel design space exploration (DSE) algorithm built on top of HL-Pow to trade off between latency and power consumption. This algorithm can reach a close approximation of the real Pareto frontier while only requiring running HLS flow for 20 design points in the entire design space.

READ FULL TEXT
research
05/06/2019

Machine Learning Based Routing Congestion Prediction in FPGA High-Level Synthesis

High-level synthesis (HLS) shortens the development time of hardware des...
research
04/06/2020

CNN2Gate: Toward Designing a General Framework for Implementation of Convolutional Neural Networks on FPGA

Convolutional Neural Networks (CNNs) have a major impact on our society ...
research
05/08/2015

FPGA-Based Bandwidth Selection for Kernel Density Estimation Using High Level Synthesis Approach

FPGA technology can offer significantly higher performance at much lower...
research
01/25/2022

PowerGear: Early-Stage Power Estimation in FPGA HLS via Heterogeneous Edge-Centric GNNs

Power estimation is the basis of many hardware optimization strategies. ...
research
07/18/2018

Cross-layer Optimization for High Speed Adders: A Pareto Driven Machine Learning Approach

In spite of maturity to the modern electronic design automation (EDA) to...
research
03/22/2023

A Cycle-Accurate Soft Error Vulnerability Analysis Framework for FPGA-based Designs

Many aerospace and automotive applications use FPGAs in their designs du...
research
06/18/2021

Towards Accurate Performance Modeling of RISC-V Designs

Microprocessor design, debug, and validation research and development ar...

Please sign up or login with your details

Forgot password? Click here to reset