High-Level Synthesis Performance Prediction using GNNs: Benchmarking, Modeling, and Advancing

01/18/2022
by   Nan Wu, et al.
6

Agile hardware development requires fast and accurate circuit quality evaluation from early design stages. Existing work of high-level synthesis (HLS) performance prediction usually needs extensive feature engineering after the synthesis process. To expedite circuit evaluation from as earlier design stage as possible, we propose a rapid and accurate performance modeling, exploiting the representation power of graph neural networks (GNNs) by representing C/C++ programs as graphs. The contribution of this work is three-fold. First, we build a standard benchmark containing 40k C synthesizable programs, which includes both synthetic programs and three sets of real-world HLS benchmarks. Each program is implemented on FPGA to generate ground-truth performance metrics. Second, we formally formulate the HLS performance prediction problem on graphs, and propose multiple modeling strategies with GNNs that leverage different trade-offs between prediction timeliness (early/late prediction) and accuracy. Third, we further propose a novel hierarchical GNN that does not sacrifice timeliness but largely improves prediction accuracy, significantly outperforming HLS tools. We apply extensive evaluations for both synthetic and unseen real-case programs; our proposed predictor largely outperforms HLS by up to 40X and excels existing predictors by 2X to 5X in terms of resource usage and timing prediction.

READ FULL TEXT
research
09/13/2021

Program-to-Circuit: Exploiting GNNs for Program Representation and Circuit Translation

Circuit design is complicated and requires extensive domain-specific exp...
research
10/05/2022

Towards Prototype-Based Self-Explainable Graph Neural Network

Graph Neural Networks (GNNs) have shown great ability in modeling graph-...
research
07/23/2022

The prediction of the quality of results in Logic Synthesis using Transformer and Graph Neural Networks

In the logic synthesis stage, structure transformations in the synthesis...
research
11/10/2021

Generalizable Cross-Graph Embedding for GNN-based Congestion Prediction

Presently with technology node scaling, an accurate prediction model at ...
research
03/29/2022

Pretraining Graph Neural Networks for few-shot Analog Circuit Modeling and Design

Being able to predict the performance of circuits without running expens...
research
02/16/2021

IronMan: GNN-assisted Design Space Exploration in High-Level Synthesis via Reinforcement Learning

Despite the great success of High-Level Synthesis (HLS) tools, we observ...
research
01/25/2022

PowerGear: Early-Stage Power Estimation in FPGA HLS via Heterogeneous Edge-Centric GNNs

Power estimation is the basis of many hardware optimization strategies. ...

Please sign up or login with your details

Forgot password? Click here to reset