HexaMesh: Scaling to Hundreds of Chiplets with an Optimized Chiplet Arrangement

11/25/2022
by   Patrick Iff, et al.
0

2.5D integration is an important technique to tackle the growing cost of manufacturing chips in advanced technology nodes. This poses the challenge of providing high-performance inter-chiplet interconnects (ICIs). As the number of chiplets grows to tens or hundreds, it becomes infeasible to hand-optimize their arrangement in a way that maximizes the ICI performance. In this paper, we propose HexaMesh, an arrangement of chiplets that outperforms a grid arrangement both in theory (network diameter reduced by 42 bandwidth improved by 130 improved by 34 high-performance ICIs.

READ FULL TEXT

page 1

page 2

page 3

page 4

research
03/02/2019

GraphVite: A High-Performance CPU-GPU Hybrid System for Node Embedding

Learning continuous representations of nodes is attracting growing inter...
research
12/19/2019

Slim Fly: A Cost Effective Low-Diameter Network Topology

We introduce a high-performance cost-effective network topology called S...
research
01/29/2020

Reduced-Space Interior Point Methods in Power Grid Problems

Due to critical environmental issues, the power systems have to accommod...
research
01/15/2023

High Performance W-stacking for Imaging Radio Astronomy Data: a Parallel and Accelerated Solution

Current and upcoming radio-interferometers are expected to produce volum...
research
09/02/2023

CoRD: Converged RDMA Dataplane for High-Performance Clouds

High-performance networking is often characterized by kernel bypass whic...
research
09/06/2017

Cost Modeling and Projection for Stacked Nanowire Fabric

To continue scaling beyond 2-D CMOS with 3-D integration, any new 3-D IC...

Please sign up or login with your details

Forgot password? Click here to reset