HeM3D: Heterogeneous Manycore Architecture Based on Monolithic 3D Vertical Integration

11/30/2020
by   Aqeeb Iqbal Arka, et al.
0

Heterogeneous manycore architectures are the key to efficiently execute compute- and data-intensive applications. Through silicon via (TSV)-based 3D manycore system is a promising solution in this direction as it enables integration of disparate computing cores on a single system. However, the achievable performance of conventional through-silicon-via (TSV)-based 3D systems is ultimately bottlenecked by the horizontal wires (wires in each planar die). Moreover, current TSV 3D architectures suffer from thermal limitations. Hence, TSV-based architectures do not realize the full potential of 3D integration. Monolithic 3D (M3D) integration, a breakthrough technology to achieve - More Moore and More Than Moore - and opens up the possibility of designing cores and associated network routers using multiple layers by utilizing monolithic inter-tier vias (MIVs) and hence, reducing the effective wire length. Compared to TSV-based 3D ICs, M3D offers the true benefits of vertical dimension for system integration: the size of a MIV used in M3D is over 100x smaller than a TSV. In this work, we demonstrate how M3D-enabled vertical core and uncore elements offer significant performance and thermal improvements in manycore heterogeneous architectures compared to its TSV-based counterpart. To overcome the difficult optimization challenges due to the large design space and complex interactions among the heterogeneous components (CPU, GPU, Last Level Cache, etc.) in an M3D-based manycore chip, we leverage novel design-space exploration algorithms to trade-off different objectives. The proposed M3D-enabled heterogeneous architecture, called HeM3D, outperforms its state-of-the-art TSV-equivalent counterpart by up to 18.3 while being up to 19 degrees Celcius cooler.

READ FULL TEXT

page 4

page 5

page 15

research
01/03/2022

Energy-efficient Non Uniform Last Level Caches for Chip-multiprocessors Based on Compression

With technology scaling, the size of cache systems in chip-multiprocesso...
research
08/29/2018

Implications of Integrated CPU-GPU Processors on Thermal and Power Management Techniques

Heterogeneous processors with architecturally different cores (CPU and G...
research
09/30/2019

System-level optimization of Network-on-Chips for heterogeneous 3D System-on-Chips

For a system-level design of Networks-on-Chip for 3D heterogeneous Syste...
research
03/10/2023

MOELA: A Multi-Objective Evolutionary/Learning Design Space Exploration Framework for 3D Heterogeneous Manycore Platforms

To enable emerging applications such as deep machine learning and graph ...
research
02/22/2023

ALEGO: Towards Cost-Aware Architecture and Integration Co-Design for Chiplet-based Spatial Accelerators

Advanced packaging offers a new design paradigm in the post-Moore era, w...
research
09/10/2019

NoCs in Heterogeneous 3D SoCs: Co-Design of Routing Strategies and Microarchitectures

Heterogeneous 3D System-on-Chips (3D SoCs) are the most promising design...
research
06/10/2019

Inter-Tier Process Variation-Aware Monolithic 3D NoC Architectures

Monolithic 3D (M3D) technology enables high density integration, perform...

Please sign up or login with your details

Forgot password? Click here to reset