HEAM: High-Efficiency Approximate Multiplier Optimization for Deep Neural Networks

01/20/2022
by   Su Zheng, et al.
0

We propose an optimization method for the automatic design of approximate multipliers, which minimizes the average error according to the operand distributions. Our multiplier achieves up to 50.24 best reproduced approximate multiplier in DNNs, with 15.76 25.05 multiplier, our multiplier reduces the area, power consumption, and delay by 44.94 tested DNN accelerator modules with our multiplier obtain up to 18.70 area and 9.99

READ FULL TEXT
research
10/08/2022

Low Error-Rate Approximate Multiplier Design for DNNs with Hardware-Driven Co-Optimization

In this paper, two approximate 3*3 multipliers are proposed and the synt...
research
06/21/2022

Enabling Capsule Networks at the Edge through Approximate Softmax and Squash Operations

Complex Deep Neural Networks such as Capsule Networks (CapsNets) exhibit...
research
06/12/2020

SemifreddoNets: Partially Frozen Neural Networks for Efficient Computer Vision Systems

We propose a system comprised of fixed-topology neural networks having p...
research
02/18/2021

PLAM: a Posit Logarithm-Approximate Multiplier for Power Efficient Posit-based DNNs

The Posit Number System was introduced in 2017 as a replacement for floa...
research
12/26/2019

Deep Learning Training with Simulated Approximate Multipliers

This paper presents by simulation how approximate multipliers can be uti...
research
03/04/2023

scaleTRIM: Scalable TRuncation-Based Integer Approximate Multiplier with Linearization and Compensation

Approximate computing (AC) has become a prominent solution to improve th...
research
05/12/2021

On the Approximation of Accuracy-configurable Sequential Multipliers via Segmented Carry Chains

In this paper, we present a multiplier based on a sequence of approximat...

Please sign up or login with your details

Forgot password? Click here to reset