hARMS: A Hardware Acceleration Architecture for Real-Time Event-Based Optical Flow

12/13/2021
by   Daniel C. Stumpp, et al.
0

Event-based vision sensors produce asynchronous event streams with high temporal resolution based on changes in the visual scene. The properties of these sensors allow for accurate and fast calculation of optical flow as events are generated. Existing solutions for calculating optical flow from event data either fail to capture the true direction of motion due to the aperture problem, do not use the high temporal resolution of the sensor, or are too computationally expensive to be run in real time on embedded platforms. In this research, we first present a faster version of our previous algorithm, ARMS (Aperture Robust Multi-Scale flow). The new optimized software version (fARMS) significantly improves throughput on a traditional CPU. Further, we present hARMS, a hardware realization of the fARMS algorithm allowing for real-time computation of true flow on low-power, embedded platforms. The proposed hARMS architecture targets hybrid system-on-chip devices and was designed to maximize configurability and throughput. The hardware architecture and fARMS algorithm were developed with asynchronous neuromorphic processing in mind, abandoning the common use of an event frame and instead operating using only a small history of relevant events, allowing latency to scale independently of the sensor resolution. This change in processing paradigm improved the estimation of flow directions by up to 73 demonstrated hARMS throughput of up to 1.21 Mevent/s on the benchmark configuration selected. This throughput enables real-time performance and makes it the fastest known realization of aperture-robust, event-based optical flow to date.

READ FULL TEXT

page 5

page 6

page 8

page 9

page 12

page 13

page 16

page 17

research
12/20/2021

Real-Time Optical Flow for Vehicular Perception with Low- and High-Resolution Event Cameras

Event cameras capture changes of illumination in the observed scene rath...
research
11/27/2018

See before you see: Real-time high speed motion prediction using fast aperture-robust event-driven visual flow

Optical flow is a crucial component of the feature space for early visua...
research
05/31/2018

Simultaneous Optical Flow and Segmentation (SOFAS) using Dynamic Vision Sensor

We present an algorithm (SOFAS) to estimate the optical flow of events g...
research
09/14/2022

NanoFlowNet: Real-time Dense Optical Flow on a Nano Quadcopter

Nano quadcopters are small, agile, and cheap platforms that are well sui...
research
07/02/2022

Hardware architecture for high throughput event visual data filtering with matrix of IIR filters algorithm

Neuromorphic vision is a rapidly growing field with numerous application...
research
05/13/2022

A Framework for Event-based Computer Vision on a Mobile Device

We present the first publicly available Android framework to stream data...
research
03/13/2023

Dynamic Event-based Optical Identification and Communication

Optical identification is often done with spatial or temporal visual pat...

Please sign up or login with your details

Forgot password? Click here to reset