GraPhSyM: Graph Physical Synthesis Model

08/07/2023
by   Ahmed Agiza, et al.
0

In this work, we introduce GraPhSyM, a Graph Attention Network (GATv2) model for fast and accurate estimation of post-physical synthesis circuit delay and area metrics from pre-physical synthesis circuit netlists. Once trained, GraPhSyM provides accurate visibility of final design metrics to early EDA stages, such as logic synthesis, without running the slow physical synthesis flow, enabling global co-optimization across stages. Additionally, the swift and precise feedback provided by GraPhSyM is instrumental for machine-learning-based EDA optimization frameworks. Given a gate-level netlist of a circuit represented as a graph, GraPhSyM utilizes graph structure, connectivity, and electrical property features to predict the impact of physical synthesis transformations such as buffer insertion and gate sizing. When trained on a dataset of 6000 prefix adder designs synthesized at an aggressive delay target, GraPhSyM can accurately predict the post-synthesis delay (98.3 inference time. Furthermore, we illustrate the compositionality of GraPhSyM by employing the model trained on a fixed delay target to accurately anticipate post-synthesis metrics at a variety of unseen delay targets. Lastly, we report promising generalization capabilities of the GraPhSyM model when it is evaluated on circuits different from the adders it was exclusively trained on. The results show the potential for GraPhSyM to serve as a powerful tool for advanced optimization techniques and as an oracle for EDA machine learning frameworks.

READ FULL TEXT
research
03/06/2023

ALMOST: Adversarial Learning to Mitigate Oracle-less ML Attacks via Synthesis Tuning

Oracle-less machine learning (ML) attacks have broken various logic lock...
research
07/23/2022

The prediction of the quality of results in Logic Synthesis using Transformer and Graph Neural Networks

In the logic synthesis stage, structure transformations in the synthesis...
research
06/16/2022

Vesyla-II: An Algorithm Library Development Tool for Synchoros VLSI Design Style

High-level synthesis (HLS) has been researched for decades and is still ...
research
08/09/2021

Understanding Tool Synthesis Behavior and Safe Finite State Machine Design

High-reliability design requires understanding synthesis tool behavior a...
research
11/20/2021

Accelerating non-LTE synthesis and inversions with graph networks

Context: The computational cost of fast non-LTE synthesis is one of the ...
research
02/08/2023

AISYN: AI-driven Reinforcement Learning-Based Logic Synthesis Framework

Logic synthesis is one of the most important steps in design and impleme...
research
07/05/2023

Why can big.bi be changed to bi.gbi? A mathematical model of syllabification and articulatory synthesis

A simplified model of articulatory synthesis involving four stages is pr...

Please sign up or login with your details

Forgot password? Click here to reset