FATE: Fast and Accurate Timing Error Prediction Framework for Low Power DNN Accelerator Design

07/02/2018
by   Jeff Zhang, et al.
0

Deep neural networks (DNN) are increasingly being accelerated on application-specific hardware such as the Google TPU designed especially for deep learning. Timing speculation is a promising approach to further increase the energy efficiency of DNN accelerators. Architectural exploration for timing speculation requires detailed gate-level timing simulations that can be time-consuming for large DNNs that execute millions of multiply-and-accumulate (MAC) operations. In this paper we propose FATE, a new methodology for fast and accurate timing simulations of DNN accelerators like the Google TPU. FATE proposes two novel ideas: (i) DelayNet, a DNN based timing model for MAC units; and (ii) a statistical sampling methodology that reduces the number of MAC operations for which timing simulations are performed. We show that FATE results in between 8 times-58 times speed-up in timing simulations, while introducing less than 2 demonstrate the use of FATE by comparing to conventional DNN accelerator that uses 2's complement (2C) arithmetic with an alternative implementation that uses signed magnitude representations (SMR). We show that that the SMR implementation provides 18 accuracy than 2C, a result that might be of independent interest.

READ FULL TEXT

page 1

page 2

page 3

page 4

research
02/11/2018

ThUnderVolt: Enabling Aggressive Voltage Underscaling and Timing Error Resilience for Energy Efficient Deep Neural Network Accelerators

Hardware accelerators are being increasingly deployed to boost the perfo...
research
08/30/2023

READ: Reliability-Enhanced Accelerator Dataflow Optimization using Critical Input Pattern Reduction

With the rapid advancements of deep learning in recent years, hardware a...
research
02/21/2020

TFApprox: Towards a Fast Emulation of DNN Approximate Hardware Accelerators on GPU

Energy efficiency of hardware accelerators of deep neural networks (DNN)...
research
03/14/2023

DeepAxe: A Framework for Exploration of Approximation and Reliability Trade-offs in DNN Accelerators

While the role of Deep Neural Networks (DNNs) in a wide range of safety-...
research
09/09/2021

Automatic Timing-Coherent Transactor Generation for Mixed-level Simulations

In this paper we extend the concept of the traditional transactor, which...
research
08/18/2023

parti-gem5: gem5's Timing Mode Parallelised

Detailed timing models are indispensable tools for the design space expl...
research
06/14/2017

MATIC: Adaptation and In-situ Canaries for Energy-Efficient Neural Network Acceleration

- The primary author has withdrawn this paper due to conflict of interes...

Please sign up or login with your details

Forgot password? Click here to reset