Fast and reconfigurable sort-in-memory system enabled by memristors

09/19/2023
by   Lianfeng Yu, et al.
0

Sorting is fundamental and ubiquitous in modern computing systems. Hardware sorting systems are built based on comparison operations with Von Neumann architecture, but their performance are limited by the bandwidth between memory and comparison units and the performance of complementary metal-oxide-semiconductor (CMOS) based circuitry. Sort-in-memory (SIM) based on emerging memristors is desired but not yet available due to comparison operations that are challenging to be implemented within memristive memory. Here we report fast and reconfigurable SIM system enabled by digit read (DR) on 1-transistor-1-resistor (1T1R) memristor arrays. We develop DR tree node skipping (TNS) that support variable data quantity and data types, and extend TNS with multi-bank, bit-slice and multi-level strategies to enable cross-array TNS (CA-TNS) for practical adoptions. Experimented on benchmark sorting datasets, our memristor-enabled SIM system presents up to 3.32x 7.70x speedup, 6.23x 183.5x energy efficiency improvement and 2.23x 7.43x area reduction compared with state-of-the-art sorting systems. We apply such SIM system for shortest path search with Dijkstra's algorithm and neural network inference with in-situ pruning, demonstrating the capability in solving practical sorting tasks and the compatibility in integrating with other compute-in-memory (CIM) schemes. The comparison-free TNS/CA-TNS SIM enabled by memristors pushes sorting into a new paradigm of sort-in-memory for next-generation sorting systems.

READ FULL TEXT

page 7

page 9

page 13

page 16

page 23

page 26

research
02/15/2022

Fast and Scalable Memristive In-Memory Sorting with Column-Skipping Algorithm

Memristive in-memory sorting has been proposed recently to improve hardw...
research
12/17/2020

Sorting in Memristive Memory

Sorting is needed in many application domains. The data is read from mem...
research
06/03/2022

Onesweep: A Faster Least Significant Digit Radix Sort for GPUs

We present Onesweep, a least-significant digit (LSD) radix sorting algor...
research
05/23/2022

FAST: A Fully-Concurrent Access Technique to All SRAM Rows for Enhanced Speed and Energy Efficiency in Data-Intensive Applications

Compute-in-memory (CiM) is a promising approach to improving the computi...
research
12/09/2016

Field-Programmable Crossbar Array (FPCA) for Reconfigurable Computing

For decades, advances in electronics were directly driven by the scaling...
research
08/03/2020

Bit Parallel 6T SRAM In-memory Computing with Reconfigurable Bit-Precision

This paper presents 6T SRAM cell-based bit-parallel in-memory computing ...
research
05/13/2022

Toward A Formalized Approach for Spike Sorting Algorithms and Hardware Evaluation

Spike sorting algorithms are used to separate extracellular recordings o...

Please sign up or login with your details

Forgot password? Click here to reset